范文一:四路彩灯实验报告
《电子工艺与数字逻辑》 课程设计报告
实验地点 实 验 楼 4-18 、 3-11 专 业 计 算 机 科 学 与 技 术
年 级 2010级 科 技 1班
姓 名
学 号
指导教师
实验时间 2012-2-27 — 2012-6-18
目录
1 设计任务书 ................................................ 3 1.1设计题目:........................................................................................................................ 3
1.2设计任务:........................................................................................................................ 3
2 设计 ............................................................ 3 2.1设计软件环境要求 ............................................................................................................ 3 2.2设计思路 ........................................................................................................................... 3 2.3设计方案 ........................................................................................................................... 5 2.4各单元电路的设计 ............................................................................................................ 6 2.4.1模 12计数器 74LS163设 计 . ................................................................................. 6 2.4.2通用移位寄存器 74LS194控制四个彩灯 . ............................................................ 7
2.5EWB 仿真电路图 . ........................................................................................................... 7
3实现 ............................................................. 8 3.1器件清单 ........................................................................................................................... 8 3.2实物电路图 ........................................................................................................................ 8
3.3结果 ................................................................................................................................. 10
4实验总结 ................................................... 11 5参考文献 ................................................... 11
1设计任务书
1.1设计题目:
四路彩灯控制器。
1.2设计任务:
设计一个四路彩灯控制器 , 设计要求如下:
(1) 接 通电源后,彩灯可以自动按预先设置的程序循环闪烁。
(2) 设 置的彩灯花型由三个节拍组成:
第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间 1s ,共 用 4s ;
第二节拍:四路彩灯从右向左逐次渐灭,也需 4s ; 第三节拍:四路彩灯同时亮 0.5s, 然后同时变暗 , 进行 4次 , 所需时间也为 4s 。
(3) 三 个节拍完成一个循环,一共需要 12s 。一次循环之后重复 进行闪烁。
2设计
2.1设计软件环境要求
Windows 200, EWB 软件
2.2设计思路
四路彩灯工作过程
2.3设计方案
用四个发光二极管来替代四个彩灯,设依次为 d Q c Q b Q a Q 设“ 1”表示灯亮,“ 0”表示灯灭,由要求列出状态表
表 1 四路彩灯输出显示
由上表可知,可以选用模 12计数器作为分频器,起节拍产生和 控制作用,每 4s 一个节拍, 3个节拍共 12s 后反复循环。要实现模 12计数器的话用 74LS161(当然在实际操作中可以用 74LS163代替
161,因为 74LS163的引脚排列和 74LS161相同) 。一个节拍结束后 应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、 同时灭等功能。
至于控制发光二级管的状态可以用通用移位寄存器 74LS194, 因 为它具有禁止、右移、左移和并行置数四种功能,所以 74LS194能 实现第一、二、三节拍的功能。它具有四个并行输入端 A 、 B 、 C 、 D 和与之对应的四个并行输出端 QA,QB,QC,QD , 右移输入端 SR , 左移 输入端 SL 和模式控制输入端 S1, S0,以及一个无条件直接清除端 CLR 。当 S1S0=01(右移) , S1S0=10(左移) , S1S0=11(并行置数) 。 脉冲源采用秒脉冲发生器,用以提供频率为 1Hz 的时钟信号 .
2.4各单元电路的设计
2.4.1模 12计数器 74LS163设 计
模 12计数器 74LS163起节拍产生和控制作用, 每 4s 一个节拍, 3个节拍共 12s 后反复循环。大致电路图如下:
由一个 74LS163、一个与门和一个与非门组成,这图用的是反 馈置数法, 当输出端 QD,QC,QB,QA 为 1011时, LOAD ’ 端接收
到低电平 0, 此时 74LS163输出端就重新回到了 0000状态。 当 然还可以用反馈清零法,既是将与非门的输出端接在 CLR ’ 端 口,输出端 QD,QC,QB,QA 为 1011时,将 CLR 置零,输出端 为 0000。这样一次循环就完成。
2.4.2通用移位寄存器 74LS194控制四个彩灯
通用移位寄存器 74LS194控制四个彩灯连接如下:
在第一节拍中, S1S0=01, 74194实现右移功能,即在时钟脉冲 作用下,把 1
SR
D =逐次移进;在第二节拍中, S1S0=10, 74194实现左移功能,即在时钟脉冲作用下,把 0
SR
D =逐次反方向移 进。
2.5EWB 仿真电路图
由于前两个节拍中 30Q =,门 G 关闭,输出为 0,因此四路彩灯 的输出 a b c d A B C D Q Q Q Q Q Q Q Q =。在第三节拍中, 1010M M =, 74194仍然 左移, A B C D Q Q Q Q 一直保持为 0000。此时 31Q =,门 G 打开,时钟脉冲 CP 同时加到四个输出端 a b c d Q Q Q Q ,由于 CP 是 1Hz 秒脉冲,在 1s 时 间内高电平和低电平持续时间均为 0.5s ,因此 a b c d Q Q Q Q 实现同时亮 0.5s 、同时灭 0.5s ,在 4s 内共进行 4次。第三节拍结束后返回第一节 拍,如此反复,实现四路彩灯循环显示。
3实现
3.1器件清单
HD74LS161AP 1个, CD74HC194E 1个, HD74LS32P 2个, HD74LS04P 1个, HD74LS00P 1个, HD74LS08P 1个,导线若干。
3.2实物电路图
第二个节拍(部分图片) :
3.3结果
11
由于前两个节拍中 30Q =,门 G 关闭,输出为 0,因此四路彩灯 的输出 a b c d A B C D Q Q Q Q Q Q Q Q =。在第三节拍中, 1010M M =, 74194仍然 左移, A B C D Q Q Q Q 一直保持为 0000。此时 31Q =,门 G 打开,时钟脉冲 CP 同时加到四个输出端 a b c d Q Q Q Q ,由于 CP 是 1Hz 秒脉冲,在 1s 时
间内高电平和低电平持续时间均为 0.5s ,因此 a b c d Q Q Q Q 实现同时亮
0.5s 、同时灭 0.5s ,在 4s 内共进行 4次。第三节拍结束后返回第一节 拍,如此反复,实现四路彩灯循环显示。
4实验总结
回想起当初的努力真是感慨万千。 记得刚开始接手课题时, 完全 不知道怎样下手, 简直就是一筹莫展, 后来经过自己查找资料和与小 组成员讨论, 终于对四路彩灯有了初步的了解与认识。 通过此次课题 设计,使我更加的了解 74LS191和 74LS163,并且加深了对模 12计 数器认识,学会了怎样连接反馈置数法和反馈清零法。并且用 EWB 仿真软件进行测试,经过多次的试验,最终达到了课题设计的要求。
5参考文献
1. 《电子技术基础数字部分》第五版) 主编:康光华 高等教 育出版社。
2. 《电子电路设计与制作》 主编:梅开乡 梅军进 北京理工 大学出版社。
范文二:电子工艺实验报告(四路彩灯)
课程设计报告
班 级:
姓 名:
学 号:
指导教师:
撰写日期:
电子工艺与数字逻辑
目录
目 录
目 录 . ................................................................................................ 2 第一章 课程设计内容与要求分析 . .................................................. 4 1.1 课程设计内容 ................................ 4 第二章 单元电路 . .............................................................................. 5 2.1系统单元电路组成 .............................. 5 第三章 实现 . ...................................................................................... 6 3.1零件清单 ...................................... 6 3.2实物电路图 .................................... 6 3.3结果 .......................................... 8第四章 74LS194功能表 . ................................................................... 9 第五章 实验总结 . ............................................................................. 11 第六章 参考文献 . ............................................................................ 12 第七章 评语及成绩 . ........................................................................ 13
、
一、课程设计任务书
1. 课程题目
四路彩灯显示系统设计
2. 设计内容
设计一个四路彩灯控制器 , 要求系统启动后自动从初始状态按照规定 程序完成 3个节拍的循环演示。第一节拍:四路彩灯从左向右逐次渐亮, 灯亮时间 1S ,共用 4S ;第二节拍:四路彩灯从右向左逐次渐灭,也需 4S ; 第三节拍:四路彩灯同时亮 0.5S, 然后同时变暗 , 进行 4次 , 所需时间也为 4S 。
第一章 课程设计内容与要求分析
第一章 课程设计内容与要求分析
1.1课程设计内容
设 计 要 求
设计用中规模集成电路设计并制作一个四路彩灯显示系统, 要求如下:
1. 开机自动置入初始状态后即能按规定的程序进行循环显示;
2. 程序由三个节拍组成:第一节拍时,四路输出 Q1~Q4依次为 1, 使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。第二节 拍时, Q4~Q1依次为 0,使第四路彩灯先灭,然后使第三,第二, 第一路彩灯灭。第三节拍时, Q1~Q4输出同时为 1态 0.5秒,然 后同时为 0态 0.5秒,使四路彩灯同时点亮 0.5秒然后同时灭 0.5秒,共进行 4次。每个节拍耗时都为 4秒,执行一次程序共需 12秒;
3. 用发光二极管模拟显示彩灯系统的各节拍。
第二章 单元电路
2.1系统单元电路组成
模 12计数器 74LS163起节拍产生和控制作用, 每 4s 一个节拍, 3个节 拍共 12s 后反复循环。大致电路图如下:
四路彩灯 8.doc 四路 彩灯 8.doc
由一个 74LS163、一个与门和一个与非门组成,这图用的是反馈置数 法,当输出端 QD,QC,QB,QA 为 1011时, LOAD ’ 端接收到低电平 0,此时 74LS163输出端就重新回到了 0000状态。当然还可以用反馈清零法,既是 将与非门的输出端接在 CLR ’ 端口,输出端 QD,QC,QB,QA 为 1011时,将 CLR 置零,输出端为 0000。这样一次循环就完成。
可用与非门检测 N, 当 N 出现时, 与非门输出为低, 用它控制清零端 CR ’ , 将计数器清零。此处工作状态从 0000~1011,检测 1100(异步清零) 。
EWB 仿真电路图
第三章 实现
3.1零件清单
HD74LS161AP 1个, CD74HC194E 1个, HD74LS32P 2个, HD74LS04P 1个, HD74LS00P 1个, HD74LS08P 1个, 导线若干。
3.2实物电路图
第一个节拍(部分图片) :
第二个节拍(部分图片) :
第三个节拍(部分图片) :
3.3结果
由于前两个节拍中 30Q =,门 G 关闭,输出为 0,因此四路彩灯的输出 a b c d A B C D Q Q Q Q Q Q Q Q =。在第三节拍中, 1010M M =, 74194仍然左移,
A B C D Q Q Q Q 一直保持为 0000。此时 31Q =,门 G 打开,时钟脉冲 CP 同时加 到四个输出端 a b c d Q Q Q Q ,由于 CP 是 1Hz 秒脉冲,在 1s 时间内高电平和低 电平持续时间均为 0.5s ,因此 a b c d Q Q Q Q 实现同时亮 0.5s 、同时灭 0.5s ,在 4s 内共进行 4次。第三节拍结束后返回第一节拍,如此反复,实现四路彩 灯循环显示。
第四章 74LS194功能表
输 入
输 出 功
能 /CR S 1 S 0 C P S L SR D0 D1 D2 D3 Q0 Q1 Q2 Q3 0 × × × × × × × × × 0 0 0 0 清零 1 1 1 ↑ × × d 0 d1 d 2 d3
d0 d1 d2 d3 送数 1 0 1 ↑ × 1 × × × × 1 Q0n Q1n Q2n 右移 1 1
0 ↑ 0
× ×
×
×
×
Q1n
Q2n
Q3n
左移
通过 12进制计数器的端的 C 、 D 信号控制移位寄存器的 S0和 S1及其 CLR 端真值表
CLK 时间 /S 节 拍 QD QC S0 S1 SR SL 74LS194 动作
0 1HZ 脉冲 B
1 第 一 节 拍 0 0 1 0 1
*
右移 1
1 2 0 0 1 0 2 3
0 0 1 0 3 4 0 0 1 0 4 5 第 二 节 拍 0 1 0 1 * 0 左移 0 5 6 0 1 0 1 6 7 0 1 0 1 7 8
0 1 0 1 8
2HZ
9
1
1
1
送1
9 脉冲
A
第 三 节 拍 1 0 1 1
* * 清零
10
10 1 0 1 1
11 1 0 1 1
12
11 1011
13 1011
14
12 1011
15 1011
由上表:
S0=QC ’
S1=QC+QD
CLR ’ =(QD*A+QD’ )
进一步分析可知 74LS194脉冲控制:
CLK =(QD*A+QD) *QD+B
第五章 实验总结
通过这学期的努力,终于完成了这次课程设计。在此次课程设计实验 中,我学会了寄存器的使用方法, 熟悉了寄存器的一般应用,基本掌握了 数字系统设计和调试的方法。在当完成这次课程设计后,感觉很有成就感, 因为从电路设计到电路仿真,接线,测试都是自己独立完成。第一次真正 体会到将所学知识运用到实践中的快乐,最终得到了完美的结果,但是最 重要的是经历一次如此难忘的过程。
设计电路最重要的是思路要清晰,一旦有了自己的思路就应该有层次 有条理的探索下去,只要坚持自己的观点和判断,就一定能实现,即便最 后发现走进了死胡同,但是探索设想与求证的过程却是通往另一条道路必 不可少的环节。
在完成这次设计的过程中,我也有参考相关的设计课题,甚至还花了 很长的时间去弄清楚别人的设计,以至于后来被束缚住,对自己的设计没 有了头绪。学习吸收别人的长处是应该的,但是不能完全沉浸进去,要有 自己的思路和观点,并且努力去实现。这就是快乐的最大源泉。
在完成设计的过程中自己不断解决了一个个的难题,要通向最后的成 功,绝不会一蹴而就,饭要一口一口地吃,路要一步一步地走,做事要踏 实,实事求是,不能好高骛远。
在电路接线过程中,也出现了很多问题,问题多并不可怕,可怕的是 在反复的受挫中没有革新,不能改进自己的方法和思路。
通过这次课程设计, 我对电子技术中的诸多知识有了更深层次的理解, 也初步学会了如何将理论知识有机地与实际结合加以运用。这是一次获益 匪浅的实践。
第六章 参考文献
[1] 史国生主编 . 电气控制与可编程控制器技术 . 北京 :化学工业出版 社 .2004
[2]《电子技术课程设计》 历雅萍、易映萍编
[3]《电子技术课程设计指导》 彭介华、主编
高等教育出版社
[4]《电子线路设计、实验、测试》 谢自美主编 华中理工出版社。
第七章 评语及成绩
范文三:四路彩灯设计实验报告
------------------------------------------------------------------------------------------------
四路彩灯设计实验报告
电子工艺与数字逻辑课程设计报告
班 级: 姓 名: 学 号:
指导教师: 撰写日期:2013.06.09
肇庆学院
计算机学院 软件学院
- 1 -
第一章课程设计内容与要求分析
目录
第一章 课程设计内容与要求分
析 ................................................................................................... - 3 -
1(1 任务与要
求 ...............................................................................................................
............. - 3 -
1(2设计要
求 ...............................................................................................................
.................. - 3 -
1(3主要设计条
件 ...............................................................................................................
.......... - 3 -
第二章 设计总思
——————————————————————————————————————
------------------------------------------------------------------------------------------------
路 ........................................................................................................................... - 4 -
2(1基本原
理 ................................................................................................................................. - 4 -
2(2框
图 ......................................................................................................................................... - 4 -
第三章单元电路设
计 ......................................................................................................................... - 5 -
3(1时钟脉冲产生电
路 ................................................................................................................. - 5 -
3(1(1 ....................................................................................................................................... - 5 -
3(1(2具体实
现 ...................................................................................................................... - 5 -
3(2 循环控制电
路 ...............................................................................................................——————————————————————————————————————
------------------------------------------------------------------------------------------------
......... - 6 -
3(2(1 ....................................................................................................................................... - 6 -
3(2(2 ....................................................................................................................................... - 6 -
3(3彩灯花样输出电
路 ................................................................................................................. - 6 -
3(3(1运用到74LS194功能
表 .............................................................................................. - 6 -
3(3(2通过12进制计数器的输出端的C、D信号控制移位寄存
器的S0和S1及其,,,,端真值
表 ..................................................................................................................................... - 7 -
第四章 总电路设
计 ......................................................................................................................... - 8 -
第五章 实验、调试及测试结果分
析 ............................................................................................... - 8 -
5(1结果的调试及分
——————————————————————————————————————
------------------------------------------------------------------------------------------------
析 ................................................................................................................. - 8 -
5(2调试中出现的故障、原因及排除方
法 ................................................................................. - 9 -
5(2(1彩灯只有一种花样变化,没有其它的花
样: .......................................................... - 9 -
5(2(2彩灯无规律变
化: ...................................................................................................... - 9 -
5(2(3彩灯的四种花样都有,但一次循环结束到另一次循环开
始中间有段时间彩灯不
亮:..................................................................................................................................................... - 9 -
第六章 课程设计总
结 ..................................................................................................................... - 10 - 参考文
献 ........................................................................................................................................... - 10 - 附
录 ................................................................................................................................................... - 10 -
- 2 -
第一章课程设计内容与要求分析
第一章 课程设计内容与要求分析
——————————————————————————————————————
------------------------------------------------------------------------------------------------
1(1 任务与要求
用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下:
1、 开机自动置入初始状态后即能按规定的程序进行循环显示。
2、 程序由三个节拍组成:
第一节拍时,四路输出Q1,Q4依次为1,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。第二节拍时,Q4,Q1依次为0,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。第三节拍时,Q1,Q4输出同时为1态0.5秒,然后同时为0态0.5秒,使四路彩灯同时点亮0.5秒然后同时灭0.5秒,共进行4次。每个节拍费时都为4秒,执行一次程序共需12秒
3、 用发光二极管显示彩灯系统的各节拍;
4、 功能扩展(自选)
1(2设计要求
1、 设计思路清晰,给出整体设计框图;
2、 设计各单元电路,给出具体设计思路、电路器件;
3、 总电路设计;
4、 计算机仿真
5、 安装调试电路;
6、 写出设计报告;
1(3主要设计条件
提供直流稳压电源、示波器、 TTL集成电路芯片、电阻、电容及插接用面包板、导线若干。器件清单:555定时就器(1),模十六计数——————————————————————————————————————
------------------------------------------------------------------------------------------------
器74LS163(1), 与门74LS09(2),与非门74LS20(1),非门74LS04(2),或门74LS32(5)。74LS194双向移位寄存器(1),发光二极管(4),导线若干。
- 3 -
第二章 设计总思路
第二章 设计总思路
2(1基本原理
由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR,控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR’。可以用一个16进制计数器产生脉冲号一路送到控制12进制的计数器,一路经逻辑电路送到移位寄存器。
2(2框图
- 4 -
第三章 单元电路设计
第三章单元电路设计
3(1时钟脉冲产生电路
3(1(1
用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩——————————————————————————————————————
------------------------------------------------------------------------------------------------
形脉冲,其周期为: T=0.7(R1+2R2)C………………………(1-1)
控制74LS161模十六计数器电阻值和电容值可设为: R1=1KΩ , R2=20KΩ , C=0.01μF
由公式(1-1)
计算得:T=0.287S
IRTUAL
3(1(2
具体实现
- 5 -
第三章 单元电路设计
用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,控制74LS161模十六计数器,74LS161A输出2倍T的脉冲信号;B输出4倍T的脉冲信号,大约为1S。
3(2 循环控制电路
3(2(
1
12进制循环控制电路示意图(74LS161由74163代替)
3(2(2
如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用
与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR’,将计数器清零。此处工作状态从0000~1011,检测1100(异步——————————————————————————————————————
------------------------------------------------------------------------------------------------
清零)。
3(3彩灯花样输出电路
3(3(1运用到74LS194功能表
输 入
/CR S1
1
1
1 S0 CP SL SR D0 D1 D2 D3 输 出 Q0 Q1 Q2 Q3
0 0 0 0 清 零 功 能 × × × × × × × × × 1 0 1 1 1 0 ? × ? × ? 0 × d0 d1 d2 d3 d0 d1 d2 d3 送 数 1 × × × × 1 Q0n Q1n Q2n 右 移 × × × × × Q1n Q2n Q3n 0 左 移
- 6 -
第三章 单元电路设计
3(3(2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其,,,,端真值表
,,,,,, ,,,,,,,, CLR,,(,,*A+QD,)
进一步分析可知,,,,,,,脉冲控制: ,,,,(,,*A+QD,)*,,+B
- 7 -
第四章 总电路设计
第四章 总电路设计
第五章 实验、调试及测试结果分析
——————————————————————————————————————
------------------------------------------------------------------------------------------------
5(1结果的调试及分析
(1)调试使用的主要仪器: 数字万用表 直流稳压电源 示波器 函数信号发生器
(2)测试电路的方法和技巧:
先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止
- 8 -
5(2调试中出现的故障、原因及排除方法
5(2(1彩灯只有一种花样变化,没有其它的花样:
可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。还可能是12进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。特别注意不能把非门的输入与输出接反了。
5(2(2彩灯无规律变化:
原因可能是12进制循环控制电路不能产生符合要求的控制码,检查模十六计数器的CP脉冲是否稳定,看74LS161是否能计数,即QA、QB、QC、QD是否周期性的高低电平变化,前面没问题,再检查与非门74LS,,和非门74LS04是否能实现它们逻辑功能。
5(2(3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:
——————————————————————————————————————
------------------------------------------------------------------------------------------------
原因可能是控制循环的,,进制计数器没有真确接线,实际控制循环的进制大于,,进制,导致有放空时间。应该检查,,,,,,是否正常工作,确保能实现,,进制计数。
- 9 -
第六章 课程设计总结
第六章 课程设计总结
通过四路彩灯电路的设计,我发现自己对芯片的功能还是不太了解,虽然在此之前我已经学习过了模拟电子技术基础和数字电子技术基础这两门课。于是我认真地重新查了一遍相关芯片的知识,特别是各种芯片的引脚问题,最后选择合适的芯片设计了四路彩灯的设计图,并在EWB5.2上通过了仿真。在仿真过程中连线出了些问题,因为不够认真,结点处出现连接搭错线的错误,最后不得不重新检查电路。
其次是电路的实物连接,线路连接比画图更复杂些,因为线路比较多,出错后更不好检查,所以在连接前先要检查芯片、导线等。在连接的时候也要非常的细心,而且要有耐心,还要按一定的顺序连线,那样方便记忆,不至于因为不必要的重复接线而出错。整个电路中只要接错一根重要的线,就很可能前功尽弃了。因此,要学会耐心细致的做一件事,不要毛躁,不要粗心。
最后,我要感谢学校给我们这样的实践机会,也感谢老师在此当中对我们的耐心教导。总之,这次设计,让我意识到在实践中要特别小心谨慎地对待没一样东西,只有那样才可以避免不必要的物质和时间的浪费,争取获得最大化的效益。
——————————————————————————————————————
------------------------------------------------------------------------------------------------
参考文献
《电子技术基础-电路与模拟电子》---------清华大学出版社 赵辉主编
《电子技术基础》(数字部分 第五版)----------高等教育出版社 康华光主编
附录
- 10 -
电路连接实物图
- 11 -
——————————————————————————————————————
范文四:四路彩灯设计实验报告
电子工艺与数字逻辑 课程设计报告
班 级:
姓 名:
学 号:
指导教师:
撰写日期:2013.06.09
肇庆学院
计算机学院 软件学院
目录
第一章 课程设计内容与要求分析 ................................................................................................... - 3 -1. 1任务与要求 ............................................................................................................................ -3-1. 2设计要求 . ................................................................................................................................ -3-1. 3主要设计条件 . ........................................................................................................................ -3-第二章 设计总思路 ........................................................................................................................... - 4 -2. 1基本原理 . ................................................................................................................................ -4-2. 2框图 . ........................................................................................................................................ -4-第三章单元电路设计 ......................................................................................................................... - 5 -3. 1时钟脉冲产生电路 . ................................................................................................................ -5-3. 1. 1. ...................................................................................................................................... - 5 -3. 1. 2具体实现 . ..................................................................................................................... - 5 -3. 2循环控制电路 ........................................................................................................................ -6-3. 2. 1. ...................................................................................................................................... - 6 -3. 2. 2. ...................................................................................................................................... - 6 -3. 3彩灯花样输出电路 . ................................................................................................................ -6-3. 3. 1运用到 74LS194功能表 . ............................................................................................. - 6 -3. 3. 2通过 12进制计数器的输出端的 C 、 D 信号控制移位寄存器的 S0和 S1及其CLR' 端真值表 . .................................................................................................................................... - 7 -
第四章 总电路设计 ......................................................................................................................... - 8 -第五章 实验、调试及测试结果分析 ............................................................................................... - 8 -5. 1结果的调试及分析 . ................................................................................................................ -8-5. 2调试中出现的故障、原因及排除方法 ................................................................................. -9-5. 2. 1彩灯只有一种花样变化,没有其它的花样 :. ......................................................... - 9 -5. 2. 2彩灯无规律变化:. ..................................................................................................... - 9 -5. 2. 3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮 : ..................................................................................................................................................... - 9 -第六章 课程设计总结 ..................................................................................................................... - 10 -参考文献 . .......................................................................................................................................... - 10 -附录 . .................................................................................................................................................. - 10 -
第一章 课程设计内容与要求分析
1. 1 任务与要求
用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下:
1、 开机自动置入初始状态后即能按规定的程序进行循环显示。
2、 程序由三个节拍组成:
第一节拍时,四路输出 Q1~Q4依次为 1,使第一路彩灯先点亮,接着第二,第 三,第四路彩灯点亮。第二节拍时, Q4~Q1依次为 0,使第四路彩灯先灭,然 后使第三,第二,第一路彩灯灭。第三节拍时, Q1~Q4输出同时为 1态 0.5秒, 然后同时为 0态 0.5秒, 使四路彩灯同时点亮 0.5秒然后同时灭 0.5秒, 共进行 4次。每个节拍费时都为 4秒,执行一次程序共需 12秒
3、 用发光二极管显示彩灯系统的各节拍;
4、 功能扩展(自选)
1. 2设计要求
1、 设计思路清晰,给出整体设计框图;
2、 设计各单元电路,给出具体设计思路、电路器件;
3、 总电路设计;
4、 计算机仿真
5、 安装调试电路;
6、 写出设计报告;
1. 3主要设计条件
提供直流稳压电源、示波器、 TTL 集成电路芯片、电阻、电容及插接用面包板、 导线若干。器件清单:555定时就器 (1),模十六计数器 74LS163(1), 与门 74LS09(2),与非门 74LS20(1),非门 74LS04(2),或门 74LS32(5)。 74LS194双向 移位寄存器 (1),发光二极管 (4),导线若干。
第二章 设计总思路
2. 1基本原理
由设计要求出发可知彩灯的三个节拍可以用移位寄存器 74LS194实现,通过 控制 S0和 S1实现右移、左移和送数,通过控制 CLR '控制清零。第一节拍为 1右移,第二节拍为 0左移,第三节拍全亮为置数 1,全灭为清零。由于程序循环 一次要 12秒, 故需要一个 12进制的计数器控制循环。 第三节拍时要求 1秒内全 灭全亮各一次, 故脉冲信号频率比先前两节拍时脉冲频率要快一倍, 而且要以相 同频率控制 CLR ’ 。可以用一个 16进制计数器产生脉冲号一路送到控制 12进制 的计数器,一路经逻辑电路送到移位寄存器。
2. 2框图
第三章单元电路设计
3. 1时钟脉冲产生电路
3. 1. 1
用 555定时器构成多谐振荡器 , 电路输出便得到一个周期性的矩形脉冲 , 其周期为 : T=0.7(R1+2R2)C……………………… (1-1)
控制 74LS161模十六计数器电阻值和电容值可设为: R1=1KΩ , R2=20KΩ , C=0.01μF
由公式(1-1)
计 算 得 :T=0.287S
3. 1. 2
具体实现
IRT UAL
用 555定时器构成多谐振荡器 , 电路输出便得到一个周期性的矩形脉冲 , 控制 74LS161模十六计数器, 74LS161A 输出 2倍 T 的脉冲信号; B 输出 4倍 T 的脉 冲信号,大约为 1S 。
3. 2 循环控制电路
3. 2.
1
12进制循环控制电路示意图 (74LS161由 74163代替 )
3. 2. 2
如果模 N 计数器的计数序列从最小 0到最大数 N-1,那么 N 是多余的,可用 与非门检测 N, 当 N 出现时,与非门输出为低,用它控制清零端 CR ’ ,将计数器 清零。此处工作状态从 0000~1011,检测 1100(异步清零) 。
3. 3彩灯花样输出电路
3. 3. 1运用到 74LS194功能表
输 入
输 出 功 能
/CR S1 S0 CP SL
SR
D0 D1 D2 D3
Q0 Q1 Q2 Q3 0 × × × × × × × × × 0
0 清 零
1 1 1 ↑ × × d0 d1 d2 d3 d0 d1 d2 d3 送 数 1 0 1 ↑ × 1
× × × ×
1 Q0n Q1n Q2n 右 移
1
1
↑
× × × × × Q1n Q2n Q3n 0 左 移
3. 3. 2通过 12进制计数器的输出端的 C 、 D 信号控制移位寄存器的 S0和 S1及其CLR'端真值表
S0=QC'
S1=QD+QC
CLR '=(QD *A+QD' )
进一步分析可知74LS194脉冲控制:
CLK=(QD *A+QD' ) *QD +B
第四章 总电路设计
第五章 实验、调试及测试结果分析
5. 1结果的调试及分析
(1) 调试使用的主要仪器 : 数字万用表 直流稳压电源 示波器 函数信号发 生器
(2)测试电路的方法和技巧 :
先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后, 再根据彩灯的变化情况,确定可能的原因, 分析是哪个功能模块出了问题, 用数 字万用表检查各模块的功能,发现并改正错误,直到符合要求为止
5. 2调试中出现的故障、原因及排除方法
5. 2. 1彩灯只有一种花样变化,没有其它的花样 :
可能是移位计数器的 S0, S1端控制出现问题,应该检查循环控制逻辑电路。还 可能是 12进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能 完好。特别注意不能把非门的输入与输出接反了。
5. 2. 2彩灯无规律变化:
原因可能是 12进制循环控制电路不能产生符合要求的控制码,检查模十六计数 器的 CP 脉冲是否稳定,看 74LS161是否能计数,即 QA 、 QB 、 QC 、 QD 是否周 期性的高低电平变化, 前面没问题, 再检查与非门 74LS 20和非门 74LS04是否 能实现它们逻辑功能。
5. 2. 3彩灯的四种花样都有,但一次循环结束到另一次循环开始中 间有段时间彩灯不亮 :
原因可能是控制循环的12进制计数器没有真确接线, 实际控制循环的进制大于 12进制,导致有放空时间。 应该检查74LS20是否正常工作, 确保能实现 12进制计数 。
第六章 课程设计总结
第六章 课程设计总结
通过四路彩灯电路的设计, 我发现自己对芯片的功能还是不太了解, 虽然在 此之前我已经学习过了模拟电子技术基础和数字电子技术基础这两门课。 于是我 认真地重新查了一遍相关芯片的知识, 特别是各种芯片的引脚问题, 最后选择合 适的芯片设计了四路彩灯的设计图, 并在 EWB5.2上通过了仿真。 在仿真过程中 连线出了些问题, 因为不够认真,结点处出现连接搭错线的错误, 最后不得不重 新检查电路。
其次是电路的实物连接,线路连接比画图更复杂些,因为线路比较多, 出错后更 不好检查, 所以在连接前先要检查芯片、 导线等。 在连接的时候也要非常的细心, 而且要有耐心,还要按一定的顺序连线,那样方便记忆, 不至于因为不必要的重 复接线而出错。 整个电路中只要接错一根重要的线, 就很可能前功尽弃了。 因此, 要学会耐心细致的做一件事,不要毛躁,不要粗心。
最后, 我要感谢学校给我们这样的实践机会, 也感谢老师在此当中对我们的耐心 教导。 总之, 这次设计, 让我意识到在实践中要特别小心谨慎地对待没一样东西, 只有那样才可以避免不必要的物质和时间的浪费,争取获得最大化的效益。
参考文献
《电子技术基础 -电路与模拟电子》 ---------清华大学出版社 赵辉主编
《电子技术基础》 (数字部分 第五版) ----------高等教育出版社 康华光主编 附录
电路连接实物图
- 11 -
范文五:彩灯实验报告
设计八 彩灯控制器
要求:
1、 设计一个彩灯控制器,使彩灯(LED 管)能连续发出四种以上不同的显示形式;
2、随着彩灯显示形式的变化,发出不同的音响声。
设计提示 :
1、彩灯显示的不同形式可由不同进制计数器驱动 LED 显示完成;
2、 音响由选择不同频率 CP 脉冲驱动扬声器形成;
3、 彩灯显示形式由实验箱中拨码开关控制。
实验程序
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY caidengkongzhiqi IS
PORT
(
clk1,rst,clk2 : IN std_logic;
caideng : OUT std_logic_vector(7 downto 0);
cz:in std_logic_vector(1 downto 0);
speaker: out std_logic );
END caidengkongzhiqi;
ARCHITECTURE one OF caidengkongzhiqi IS
COMPONENT counter_8
PORT
(
clk,rst : IN std_logic;
count_out : OUT integer range 0 to 7
);
END COMPONENT ;
COMPONENT caidengkongzhi
PORT
(
Ins :in std_logic_vector(1 downto 0);
Input : IN INTEGER RANGE 0 TO 7;
Rst :in std_logic;
output : OUT std_logic_vector(7 downto 0));
END COMPONENT ;
COMPONENT fenpinqi
(
clk,rst : IN std_logic;
clk_10,clk_4,clk_6,clk_8 : OUT std_logic
);
END COMPONENT ;
COMPONENT xzq4_1
PORT
(
Rst :in std_logic;
Inp :in std_logic_vector(1 downto 0);
in1,in2,in3,in4 : In std_logic;
output : OUT std_logic
);
END COMPONENT ;
SIGNAL s,g,m,n,k :std_logic;
SIGNAL f:INTEGER RANGE 0 TO 31;
SIGNAL w:integer range 0 to 3;
BEGIN
u1: counter_8 port map (clk=>clk1, rst=>rst, count_out =>f);
u2: caidengkongzhi port map (ins=>cz,input=>f, rst=>rst, output =>caideng);
u3: fenpinqi port map (clk=>clk2, rst=>rst, clk_10=>g, clk_4=>m, clk_6=>n, clk_8=>k); u4: xzq4_1 port map (inp=>cz, rst=>rst, in1=>g, in2=>m, in3=>n, in4=>k, output=>speaker);
END one;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY fenpinqi IS
PORT
(
clk,rst : IN std_logic;
clk_10,clk_4,clk_6,clk_8 : OUT std_logic
);
END fenpinqi;
ARCHITECTURE cd OF fenpinqi IS
begin
p1:process(clk,rst)
variable a:integer range 0 to 20;
if rst='1' then
clk_4<>
a:=0;
else
if clk'event and clk='1'then if a>=3 then
a:=0;
clk_4<>
else
a:=a+1;
clk_4<>
end if;
end if;
end if;
end process p1;
p2:process(clk,rst)
variable b:integer range 0 to 20;
begin
if rst='1' then
clk_6<>
b:=0;
else
if clk'event and clk='1'then if b>=5 then
b:=0;
clk_6<>
else
b:=b+1;
clk_6<>
end if;
end if;
end if;
end process p2;
p3:process(clk,rst)
variable c:integer range 0 to 20;
begin
if rst='1' then
clk_8<>
c:=0;
else
if clk'event and clk='1'then
if c>=7 then
c:=0;
clk_8<>
else
c:=c+1;
clk_8<>
end if;
end if;
end if;
end process p3;
p4:process(clk,rst)
variable d:integer range 0 to 20;
begin
if rst='1' then
clk_10<>
d:=0;
else
if clk'event and clk='1'then
if d>=9 then
d:=0;
clk_10<>
else
d:=d+1;
clk_10<>
end if;
end if;
end if;
end process p4;
end cd;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY xzq4_1 IS
PORT
(
rst:in std_logic;
inp:in std_logic_vector(1 downto 0); in1,in2,in3,in4 : In std_logic;
output : OUT std_logic
);
END xzq4_1;
ARCHITECTURE a OF xzq4_1 IS
BEGIN
PROCESS (rst,inp)
BEGIN
if(rst='1') then output<>
else
case inp is
when
when
when
when
when others=>null;
end case;
end if;
END PROCESS;
END a;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY counter_8 IS
PORT
(
clk,rst : IN std_logic;
count_out : OUT integer range 0 to 7 );
END counter_8;
ARCHITECTURE a OF counter_8 IS
BEGIN
PROCESS (rst,clk)
variable temp:integer range 0 to 8;
BEGIN
IF rst='1' THEN
temp:=0;
ELSIF (clk'event and clk='1') THEN
temp:=temp+1;
if(temp=8) then
temp:=0;
end if;
END IF;
count_out<>
END PROCESS;
END a;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY caidengkongzhi IS
PORT( ins :in std_logic_vector(1 downto 0);
input : IN INTEGER RANGE 0 TO 7; rst:in std_logic;
output : OUT std_logic_vector(7 downto 0)); END caidengkongzhi;
ARCHITECTURE a OF caidengkongzhi IS
BEGIN
PROCESS (input)
BEGIN
if rst='1' then output<>
else
If(ins=
case input is
when 0=>output<>
when others=>null;
end case;
Elsif(ins=
case input is
when 0=>output<>
end case;
Elsif(ins=
case input is
when 0=>output<>
end case;
Else
case input is
when 0=>output<>
end case;
end if;
end if;
end process;
end a;
原理图
管脚配置图
波形仿真图 四选一选择器
分频器
八进制计数器