范文一:2012年二级建造师辅导-正弦交流电源对负载的作用
话费充值省钱方法交流QQ群49234549
正弦交流电源对负载的作用
正弦交流变化电源的电压(u)加到负载电阻(R)的两端,产生正弦变化的交流电流(i)其变化规律与电压(u)一致,且波形相同,初相角相同。
正弦交流变化电源的电压(u)加到负载电容(C)的两端,产生正弦变化的交流电流(i),其变化规律与电压(u)一致,且波形相同,其初相角超前于电压(u)的初相角90度。
正弦交流变化电源的电压(u)加到负载电感(L)的两端,产生正弦变化的交流电流i其变化规律与电压(u)一致,且波形相同,但电流初相角滞后于电压(u)的初相角90度。
IM411022 电路的有载、空载、短路三种状态及其特征在机电安装工程中安装和试运行或建成后的使用和生产中,由于需要或故障的原因,电路会出现有载、空载、短路三种不同状态,掌握这三种不同状态的特征,有利于对电力电路运行情况作出正确判断。(1)有载状态对机电安装工程而言,电路有载是处于正常工作状态。有载状态下的电力电路中各项电量参数(如电压、电流、功率等)和非电量参数(如发热情况、电动应力情况、噪声等级等)都处在预期的正常状态。最明显的特征是电路中既有电压,又有电流,发生电能与其他能的正常转换。(2)空载状态对机电安装工程而言,电路空载是处于备用状态,备用状态可分为热备用和冷备用状态。 (3)短路状态对机电安装工程而言,电路短路是处于故障状态,故障发生的位置可能是构成电路的任何部位,但通常指不经负载流通电流谓短路。
1M411023 电流、电压、功率及主要非电物理量测量的基本原理和方法
为了实施对机电安装工程试运行情况和日后生产或使用情况进行有效监视,电气工程中有许多测量电量的仪表,如电流表、电压表、功率表等。同时为正确反映机械设备等的其他非电物理量,以利手动或启动调节工艺参数和使用状态,如设备的转速、造纸机上纸的厚度、互联网购物必备的省钱教程网站----省钱屋 www.5730.net
话费充值省钱方法交流QQ群49234549
照明的照度、轴瓦的温度、室内空气的温度等都可转换成电量用仪表反映,仪表的显示有指针式、数字式、记录式等不同类型。
(1)直流电流的测量
按被测量直流电流数值的大小,可分成大、中、小三段,机电安装工程很少遇到处于小段的测量
*中段直流电流的测量: 将直流电流表(A)串人负载电路内,注意表的极性,使直流电流J自表的正极流入,负极流出,接反后会无法测量或损坏仪表,同时为保证测量精度应选用直流电流表内阻远小于负载电阻R的仪表,RA/R应小于允许误差的1/5.允许误 差的确定,往往是选用仪表精度等级的依据,通常由设计来作出规定。
*大段直流电流的测量:在负载电路内串入一个电阻值较小,基本不会影响负载电流I变异的分流器F,分流器F的电阻值是个常数,目的是保持测量的准确性。
只要用直流毫伏表、电位差计或直流数字电压表(mV),测量出分流器F两端的直流电压值Uf,通过I=Uf/Rf,计算,便可获得所测直流电流I的数值。当然也可在专用的毫伏计、电位差计、直流数字电压表的显示部分制成相对应的直流电流读数。这些仪表接线同样要注意极性。
大段直流电流测量除用分流器法外,还有直流互感器法,直流比较仪法等。
网校课程-->
第一时间获得二级建造师报名查分信息~点击注册>>
互联网购物必备的省钱教程网站----省钱屋 www.5730.net
话费充值省钱方法交流QQ群49234549
更多二级建造师信息请访问:二级建造师考试
-
省钱屋 www.5730.net 购物省钱交流必备的网站
在这里达人们会教你如何用80块钱充值100元钱话费的省钱计划
互联网购物必备的省钱教程网站----省钱屋 www.5730.net
范文二:交流电源的设计
交流电源的设计
曹学科 田丹丹 谭先锋
(南华大学 湖南 衡阳 421001)
指导老师:王彦
摘要:利用FPGA 完成交流电源的设计,220V 交流电压经斩波和逆变之后输出大小可调且成正弦变化的交流电压。SPWM 脉宽调制信号的产生和变频部分是基于超高速硬件描述语言VHDL 在Xilinx 公司的Spartan Ⅱ系列的XC2S2005PQ-208芯片上编程实现;经仿真调试,产生的电压大小和预置的基本一致,达到技术指标。
关键词:FPGA, VHDL, SPWM脉宽调制,变频
Design of the AC Power Supply
Cao Xueke Tian Dandan Tan Xianfeng
(Nanhua University HengYang Hunan 421001)
Teacher :Wang Yan
Abstact :Design the AC Power Supply by FPGA. The AC voltage can output an AC voltage which can be resized and varying in sine form after DC-DC converter and DC-AC converter. Generating of the SPWM signal and frequency converting is programmed with hardware description language VHDL and realized in the chip of XC2S2005PQ-208, Spartan ⅡSeries, Xilinx, Co. The output voltage is consist with the voltage pre-established and can reach the technique index.
Keywords :FPGA, VHDL, SPWM, frequency converting
目 录
第一章 系统设计……………………………………………………………3
1.1 设计要求 …………………………………………………………………... ……3
1.1.1 基本部分……………………………………………………………………..3 1.1.2 发挥部分……. ………………………………………………………………..3
1.2 总体设计方案………………………………………………………………….3 1.2.1 设计思路……………………………………………………………………..3 1.2.2 方案比较……………………………………………………………………..3 1.2.3 系统组成及工作原理……………………………………………………………..3
第二章 单元电路设计…….. ………………………………………………….2
2.1 主电路图…………………………………………………………………………4
2.2 整流滤波电路…………………………………………………………………. …4
2.3 直流斩波电路…………………………………………………………………. …4
2.4 逆变电路………………………………………………………………………. …5
2.4.1 SPWM控制的基本原理…………………………………………………………5
2.4.2 SPWM逆变电路的设计…………………………………………………………5
第三章 软件设计………………………………………………………………6
第四章 系统测试………………………………………………………………6
4.1 测试使用的仪器………………………………………………………………7
4.2测试方法…………………………………………………………………………7
4.3指标测试和测试结果……………………………………………………….. ……7
第五章 结束语………………………………………………………………...10
第六章 参考文献……………………………………………………………10 附录……. …………………………………………………………………………..5
程序清单……. ………………………………………………………………………...5
第一章 系统设计
1.1 设计要求
1.1.1 基本部分
设计一交流电源,输入为220V 交流电,输出为频率、幅值可调的交流电压。
1.1.2 发挥部分
实现正弦波脉宽调制(SPWM ),使输出为频率、幅值可调,且成正弦变化的交流电压。
1.2 总体设计方案
1.2.1 设计思路
交流电源是一种AC/DC/AC变换装置。首先将220V 交流电经整流得到脉动直流,再经滤波得到平滑直流送至斩波器,通过FPGA 来控制斩波器,得到的直流电大小,最后通过FPGA 产生正弦波脉宽调制信号控制逆变器的变频频率,将直流电变为频率和大小都可调的交流电输出。
1.2.2方案比较
方案一:采用传统的数字电路方法完成设计。对于斩波部分采用脉宽调制芯片SG3524,该方法简单实用,但有电源电压的限制,控制不够灵活,可靠性不高。
方案二:由单片机来完成设计。目前,单片机的功能已比较强大,集成度日益增高且其设计和控制比较容易。现有的大部分都是用单片机来控制输出电压大小。但是单片机最小系统的抗干扰性差,在运行过程中容易死机或进入死循环,可靠性降低。
方案三:用FPGA 控制IGBT 的导通时间以及逆变电路部分的变频频率,从而改变输出电压的幅值和频率。该方法编程简单,控制灵活,而且抗干扰性强,随着大批量的生产,成本也有下降的趋势。
综上,此处采用FPGA 来完成交流电源的设计是最佳方案。
1.2.3 系统组成和工作原理
图1-2-3-1 原理方框图
图1-2-3-1为系统的原理框图。220V 交流电压经过单相全桥整流滤波电路后得到幅值固定的直流电。直流斩波部分采用脉宽调制(PWM )法或称为变频调宽法,即固定周期T ,改变开关管IGBT 的导通时间T on ,达到改变直流电输出幅值的目的。此处采用FPGA 来产生频率可调的方波信号以控制T on 。最后通过逆变器使直流变为交流电压输出。逆变电路采用MOSFET 管组成的全桥电压型逆变电路。通过正弦波脉宽调制(SPWM )使输出为正弦交流电压。利用FPGA 产生一系列等幅不等宽,可等效于正弦波的矩形脉冲做为开关管的控制信号,使MOSFET 管交替导通和关断,产生频率和幅值都可调的正弦交流电压。
第二章 单元电路设计
2.1 主电路图 图2-1-1为主电路图,由整流滤波,斩波电路和逆变电路三个部分组成。其中IGBT 的导通时间和逆变部分的控制信号的频率用FPGA 来实现。
图2-1-1 主电路图
2.2 整流滤波电路
采用单相不控全桥整流电路。220V 交流电压先经过整流得到脉动直流电压,然后经过滤波电路,最终得到平滑的直流电压,其工作波形如图2-2-1所示。
(a ) 输入电压波形 (b ) 经整流得到的脉动直流 (c ) 经滤波得到的直流电压 图2-2-1 整流滤波后的电压波形
2.3 直流斩波电路
斩波电路的作用是将经整流滤波后得到的固定直流电压转换成可变的直流电压,即实现DC -DC 变换。在这种变换电路中,输入的电压是固定不变的,可以利用控制开关管的开通和关断时间T o 和T off 来调节开关管的占空比,以控制输出电压的平均值。斩波电路的工作波形如图2-3-1所示。其中U D 是固定的输入直流电压,U 0是输出的平均电压。
图2-3-1 斩波电路工作原理波形
(a )开关管的选择。斩波电路的核心器件是开关管,对开关管的选择主要要求是高速,低导通电阻,低损耗。有以下几种选择方法:
方法一:选用晶体三极管。晶体三极管需要较大的驱动电流,并且结和结之间的电容比较大,从而使它的动态特性不够好,整个功放的静态损耗及开关过程中的损耗都会增大。
方法二:选用功率场效应晶体管MOSFET 或绝缘栅极晶体管IGBT 。MOSFET 开关速度快,安全工作区宽,但是其通态电阻较大,通态压降较高,随着器件耐压的升高,其通态电阻也会增大。而IGBT 的导通电阻很低,通态压降也比较低,开关损耗小,能承受较大的工作电流,因此选用IGBT 作为开关管。
(b )控制电路的选择。要控制输出电压的幅度,就是控制其导通时间T on 。有以下两种方法可选择:
方法一:选用脉宽调制芯片SG3524。该方法虽然简单易行,但是要受到电源电压的限制,不利于灵活控制。不能实现发挥部分要求正弦波输出的要求。
方法二:利于FPGA 实现对导通时间T on 的控制。用VHDL 硬件描述语言编写程序,产生一系列频率可调的方波,以此作为控制信号来对IGBT 的导通时间进行控制,再下载到FPGA 芯片中,功能清晰,实现灵活。
因此,选用方法二完成控制电路的设计。
2.4 逆变电路
2.4.1 SPWM 控制的基本原理
SPWM (正弦波脉宽调制)的原理图如图2-4-1所示。其控制思想是利用逆变器的开关元件,由控制线路按一定的规律控制开关元件的通断,从而在逆变器的输出端获得一组等
幅、等距而不等宽的脉冲序列。其脉宽基本上按正弦分
布,以此脉冲来等效正弦电压波。图中示出正弦波的正
半周波形,并将其划分为N 等份,这样就可以把正弦半
波看成由N 个彼此相连的脉冲所组成的波形。这些脉冲
的宽度相等,但是幅度不等,且脉冲顶部示曲线,各脉
冲的幅值按正弦规律变化。如果将每一等份的正弦曲线
与横轴所包围的面积用一个与此面积相等的等高矩形
脉冲来代替,就得到了图中所示的脉冲序列。这样,由
N 个等幅不等宽的矩形脉冲所组成的波形与正弦波的正
半周等效,正弦波的负半周也可以用同种方法来等效。
用该序列来控制开关管的导通和关断,输出的交流电压
就按照正弦规律变化。
2.4.2 SPWM 逆变电路的设计
逆变电路的作用是将直流电能转换为交流电能,即实现DC -AC 的转换。经过斩波后得到了幅度大小可控制的直流电压,再经过逆变器,将直流电压变为交流电压输出。通过正弦波脉宽调制SPWM 可以使输出交流电为正弦形式变化;调节控制信号U G1、U G2、U G3、U G4的频率,以此来完成对输出交流电压频率的调节。
采用全桥逆变电路,由四个开关管组成,开关管选择功率MOSFET ,因为它具有开关速度快,电压驱动等特点,特别是由于它反向导电,应用在谐振逆变电路时,可省掉反馈二极管,所以采用MOSFET 作为逆变器的主开关元件。由图可见控制信号U G1和U G4,U G2和U G3同相位,且U G1和U G4,U G2和U G3的相位互差180o。电路图中的开关管V1,V2,V3,V4在控制信号U G1、U G2、U G3、U G4的作用下交替导通,当V1和V4同时处于通态时,V2和V3处于断态。图2-4-2(a )为其SPWM 控制信号波形,(b )、(c )为其电压输入输出波形信号。
图2-4-2 逆变电路工作波形
第三章 软件设计
相关软件采用VHDL 硬件描述语言编写。VHDL 是用于逻辑设计的硬件描述语言,成为IEEE 标准。利用它,硬件的功能描述可完全在软件上实现。它支持自顶向下(Top Down)和基于库(Library_Based)的设计方法,支持同步电路、异步电路、FPGA 以及等随机电路的设计,范围很广,语言的语法比较严格,给阅读和使用都带来极大好处。
软件设计分为两个部分:PWM 信号产生和SPWM 脉宽调制信号产生。PWM 信号部分主要是对逆变电路的开关管进行控制,因此只要产生频率可调的方波即可控制其电压。这两个部分都在一个程序中实现。SPWM 信号产生主要是产生一系列宽度不一致,可等效于正弦波的脉冲,用此脉冲去控制逆变电路中开关管的开通和关断时间。其设计原理框图如图3-1所示。
图3-1 程序设计原理框图
由于所用实验箱的晶振为32MHz ,频率过高,以此作为时钟信号的话得到的波形不稳定,因此首先对该晶振进行分频,得到频率比较小的脉冲作为工作时钟信号。经验证,方波的时钟频率为1MHz 时得到的波形比较稳定,SPWM 信号在10KHz 时波形比较稳定。可利用键盘来完成频率设定,设定范围为0~255(八位二进制数“11111111”) 。当datafck 确定后,来一个脉冲,该值增加一次,输出高电平,一直加到255,输出反相,这样得到的就是方波。而逆变电路是两个MOS 管交替导通,所以还对该脉冲信号取反便可得到两个控制信号,datafck 越大,得到的方波频率越小。
当脉宽不一致时,就得到脉宽可调的脉冲信号,如果该脉冲宽度满足正弦规律的变化,如图2-3-2中(a)图所示,那么得到的就是SPWM 信号。中间的脉冲宽度最大,两侧的宽度逐
渐减小。而脉冲宽度为多少可以达到输出SPWM 信号,是在实验中得到的。在这里以14个脉冲为一个周期进行计数,首先前13个脉冲都输出高电平,第14个脉冲时输出低电平,之后的12个脉冲为高电平,2个脉冲为低电平,以次类推,每过一个周期高电平减一个,低电平就加一个,直到高电平减为1又重新开始计数,这样得到的便是SPWM 信号。而对频率的调整其实是对输入时钟进行调整,将每个脉冲对应的高低电平都存在数据存储模块当中,来一个脉冲就取出对应的电平。输入脉冲的频率大,得到的SPWM 信号的频率也相对比较大。图3-2为其仿真图形 。具体程序见附录。
图3-2 仿真图形
第四章 系统测试
4.1测试使用的仪器
示波器:绿扬YB4365,100MHz ,江苏扬中电子仪器厂;
直流电压源:DF1731SC2A ;
FPGA 实验仪:DP-FPGA ,广州致远电子有限公司;
万用表:UT101数字万用表
微型步进电动机
4.2测试方法
对各电路先进行分模块测试,再进行整体测试。先将各个模块分离开单独测试,测试达到要求以后,再将每个模块连接起来,进行总体测试。具体方法如下:
斩波电路:在连接好电路后,将输入电压接到IGBT 的集电极,在IGBT 的发射极接一个电阻负载,PWM 驱动控制信号加到EXB841的14、15脚。接通电源,通过按键改变PWM 信号的占空比,用示波器观察输出电压的波形,并用万用表测试电阻两端的电压,且记录每次的数据。
逆变电路:在连接好电路后,将SPWM 控制信号加到TLP250的输入端2、3脚之间,在全桥的输入端加入输入电压,输出端加一个电阻负载。接通电源,改变SPWM 信号的频率,用示波器观测负载两端的电压波形,并用万用表的交流档测量输出电压的大小。
总体电路:将输入电压加到斩波电路的集电极,逆变电路的输入端接在斩波电路的负载两端,加入斩波、逆变电路的驱动信号。在逆变电路的输出端接一个电阻负载,接通电源,观测电阻负载两端的电压。分别改变驱动信号的占空比和频率,用示波器观察输出电压的变化,并用万用表测量输出电压,且记录每次的数据。
4.3指标测试和测试结果
4.3.1斩波电路测试数据
表4.3.1为斩波电路调节占空比时的输出电压实际值和理论值。输入电压为15V ,用万用表测量输出两端的电压(平均电压)。图4.3.1为理论值与实测值曲线。
表4.3.1 斩波电路输出电压值
图4.3.1 斩波电路理论值与实际值曲线图
4.3.2逆变电路测试数据
表4.3.2为逆变电路输入频率改变时输出的电压周期理论值和实测值。测试数据是用示波器测出的周期值,理论值为输入频率的倒数,输入电压为15V ,占空比为55%,输出电压8.3V 。图4.3.2为实测值与理论值曲线。
表4.3.2 逆变电路输出电压周期
图4.3.2 逆变数据曲线图
4.3.3总体电路测试数据
表4.3.3为总体电路的占空比、电压和频率的实测关系。输入的直流电压为18.58V , 斩波控制信号的频率为2KHz 。表中D 代表输入的PWM 信号的占空比,f 代表输入的SPWM 信号的频率,U 代表电路最后的输出电压。表中的输出电压值是用万用表交流电压档测量逆变电路输出端电压所得的值。
表4.3.3 总体电路测试数据
第五章 结束语
本设计已完成仿真、下载、调试,测试情况良好。利用FPGA 产生SPWM 信号和变频信号,对斩波电路的开关管IGBT 和逆变电路的开关管MOSFET 进行控制,可以方便地设定和调整交流电压的大小。经测试,得到的电压调整率和电流调整率都能达到技术指标,精度也比较高。
第六章 参考文献
1李冬梅.PLD 器件与EDA 技术[M]. 北京:北京广播学院出版社,2000年第一版
2赵俊超. 集成电路设计VHDL 教程[M].北京:北京希望电子出版社,2002年第一版 3甘历.VHDL 应用与开发实践[M].北京:科技出版社.2003年第一版
4 张力. 现代电力电子技术基础[M].北京:高等教育出版社.1999年10月第一版
附录
程序清单
―――――――――――――――――――――――――――――――――――――――文件名:dspwm.vhd
功能:产生SPWM 信号和频率可调的方波
说明:在Xilinx 公司的Spartan Ⅱ系列的XC2S2005PQ-208芯片上实现
最后修改时间:2003年7月28日
――――――――――――――――――――――――――――――――――――――― library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity dspwm is
Port ( clk ,reset: in std_logic;
spwm,disspwm,clkout,disclk:out
std_logic;--SPWM信号、方波信号输出
datafck : in std_logic_vector(7 downto 0);
--方波频率预置
dataf : in std_logic_vector(7 downto 0));
--spwm 频率预置 end dspwm; architecture Behavioral of dspwm is signal bclk,bclk2,sclk:std_logic; begin pulse0: process(reset,clk)--分频1 variable count: integer range 0 to 16000000; --方波时钟信号 variable bclk0: std_logic; begin if reset='1' then bclk0:='0';count:=0; elsif clk'event and clk='1' then
if count=16 then
bclk0:=not bclk0; count:=0; else count:=count+1; end if;end if;
end process fangbo;
pulse:process(reset,bclk)--产生脉宽可调的脉冲信号
variable cnt1:integer range 255 downto 0; variable sclk0:std_logic; begin
if reset='1' then
cnt1:=conv_integer(dataf);sclk0:='0'; elsif bclk'event and bclk='1' then if cnt1=255 then
bclk<>
end process pulse0;
pulse1: process(reset,clk)--SPWM时钟信号 variable count: integer range 0 to 16000000;
variable bclk1: std_logic; begin
if reset='1' then
bclk1:='0';count:=0;
elsif clk'event and clk='1' then
cnt1:=conv_integer(dataf); sclk0:=not sclk0; else cnt1:=cnt1+1; end if;end if;
sclk<=sclk0; end="">=sclk0;>
process(reset,sclk)--SPWM信号产生 variable cnt: integer ; variable spwm0:std_logic; begin
if reset='1' then
cnt:=0;spwm0:='1';
elsif sclk'event and sclk='1' then cnt:=cnt+1; case cnt is
if count=1600 then
bclk1:=not bclk1; count:=0;
else count:=count+1; end if;end if; end process pulse1;
bclk2<>
fangbo:process(reset,bclk2)
--产生频率可调的方波
variable cnt1:integer range 255 downto 0; variable sclk1:std_logic; begin
if reset='1' then
cnt1:=conv_integer(datafck);sclk1:='0'; elsif bclk2'event and bclk2='1' then if cnt1=255 then
when 0 => spwm0:='1'; when 13 =>spwm0:='0'; when 14 =>spwm0:='1'; when 26 =>spwm0:='0'; when 28 =>spwm0:='1'; when 39 =>spwm0:='0'; when 42 => spwm0:='1'; when 52 =>spwm0:='0'; when 56 =>spwm0:='1'; when 64 =>spwm0:='0'; when 70 => spwm0:='1'; when 130 =>spwm0:='0'; when 140 =>spwm0:='1'; when 143 =>spwm0:='0'; when 154 => spwm0:='1'; when 156 =>spwm0:='0'; when 168 =>spwm0:='1'; when 170 =>spwm0:='0'; when 182 => spwm0:='1';
第 11 页 共 12 页
cnt1:=conv_integer(datafck); sclk1:=not sclk1; else
cnt1:=cnt1+1;
end if;end if;
clkout<><=not sclk1;="" when="" 78="">spwm0:='0'; when 84 =>spwm0:='1'; when 90 =>spwm0:='0'; when 98 => spwm0:='1'; when 104 =>spwm0:='0'; when 112 =>spwm0:='1'; when 116 =>spwm0:='0'; when 126 => spwm0:='1';
when 184 =>spwm0:='0'; when 196 =>spwm0:='1'; when 197 =>spwm0:='0'; when 210 => spwm0:='1'; when 211 =>spwm0:='0'; when 224 =>spwm0:='1'; when 226 =>spwm0:='0'; when 238 => spwm0:='1'; when 239 =>spwm0:='0'; when 252 =>spwm0:='1'; when 254 =>spwm0:='0'; when 266 => spwm0:='1'; when 268 =>spwm0:='0'; when 280 =>spwm0:='1'; when 283 =>spwm0:='0'; when 294 => spwm0:='1'; when 297 =>spwm0:='0'; when 308 =>spwm0:='1'; when 312 =>spwm0:='0'; when 322 => spwm0:='1'; when 327 =>spwm0:='0'; when 336 =>spwm0:='1';
when 342 =>spwm0:='0'; when 350 => spwm0:='1'; when 357 =>spwm0:='0'; when 364 =>spwm0:='1'; when 372 =>spwm0:='0'; when 378 => spwm0:='1'; when 387 =>spwm0:='0'; when 392 =>spwm0:='1'; when 402 =>spwm0:='0'; when 406 => spwm0:='1'; when 417 =>spwm0:='0'; when 420 =>spwm0:='1'; when 433 =>spwm0:='0'; when 434 => spwm0:='1'; when 448 =>spwm0:='0';
when 449 => cnt:=0; spwm0:='1'; when others => null; end case;end if; spwm<>
disspwm<=not spwm0;="" end="" process;="" end="">=not>
第 12 页 共 12 页
范文三:单相交流电源自动稳压器
电力电子应用课程设计报告
院系 电子与电气工程学院
专业
班级 学号
姓名
2012 年 月
目录
一、设计目的…………………………………………………………………………2
二、设计依据…………………………………………………………………………2
三、设计要求…………………………………………………………………………2
四、设计程序…………………………………………………………………………2
1、简要的设计要求与数据资料……………………………………………………2
2、系统总体设计……………………………………………………………………3
2.1、系统总体结构图…………………………………………………………………3
2.2、系统总体说明……………………………………………………………………3
3、系统环节电路设计………………………………………………………………3
3.1、电源控制、显示与保护电路设计…………………………………………………3
3.2、 自耦变压器设计………………………………………………………………4
3.3、晶闸管触发电路设计……………………………………………………………5
3.4、调压控制电路……………………………………………………………………5
3.5、输出电压电流表选择……………………………………………………………6
五、电路仿真…………………………………………………………………………6
六、相关性,调试,使用,改进说明………………………………………………9
七、元器件清单……………………………………………………………………10
八、设计总结………………………………………………………………………11
九、参考文献………………………………………………………………………11
前言
近几年来,随着我国经济建设的发展,通信设备和通信网点在全国各地迅速增长,通信手段越来越先进。在各种程控数字交换设备和数字传输网得到广泛应用的同时,对系统供电设施的要求也越来越高。目前,国内的各类程控电源已相继投入市场,通信电源系统正在逐步向集中监控、少人职守或无人职守的方向发展。
早期的供电方式为集中供电,即供电设备集中和供电负载集中。这种方式的优点是:整流器、蓄电池、监控和配电设备都集中放置在配电室,各种电压的电池组都放置在电池室,因而供电容量大,且无须考虑电池兼容问题,供电设备的干扰也不会影响主通信设备。但是此种方式也有很多缺点:设备体积和重量较大,供电线路笨重,系统扩容困难。为改进这些不足,分散供电方式逐渐得到广泛的使用。所谓分散供电,就是指供电设备有独立于其他供电设备的负载,即负载分散或电池与负载都分散。此种方式的优点包括:占地面积小,节省材料,较低的损耗,运行维护费用低,供电可靠性高等等。
无论哪种方式供电,程控数字交换设备一般都以直流电源供电为主。直流电源又由基础电源和机架电源构成。基础电源是指包括整流器、蓄电池、监控和配电设备在内的直流供电系统。机架电源则是指交换机上的插件电源。对于基础电源来讲,为产生所需要的各种直流电压(一般为-48V ,也有少量采用-24V ),都需要将工频电网的单相220V 或三相380V 交流电压进行AC/DC和DC/DC变换。因此,变换器性能的好坏直接关系到整个通信电源系统的供电质量。
将一种交流电能转换为另一种交流电能的过程称为交流-交流变换过程,凡能实现这种变换的电路为交流变换电路。对单相交流电的电压进行调节的电路。用在电热控制、交流电动机速度控制、灯光控制和交流稳压器等场合。与自耦变压器调压方法相比,交流调压电路控制方便,调节速度快,装置的重量轻、体积小,有色金属消耗也少。结构原理简单。该方案是由变压器、触发电路、整流器、以及一些电路构成的,为一台电阻炉提供电源。输入的电压为单相交流220V ,经电路变换后,为连续可调的交流电。
一、 设计目的
1、了解交流调压电路的工作原理。
2、掌握晶闸管电路的设计方法。
3、通过课程设计培养学生的自学能力和分析问题解决问题的能力。
4、通过课程设计使学生具有一定的计算能力、制图能力、查阅手册、使
用国家技术标准的能力和一定的文字表达能力。
二、 设计依据
利用双向晶闸管设计0-220V 连续可调的交流稳压电路,要求进行过流
过压保护。
三、设计要求
1、学生在教师指导下,参照设计程序,完成系统总体方案设计、各环节(主
电路、自动调压与触发电路、电源控制及保护显示电路等) 的结构设计、设计原理说明、电路图、各元件的计算选择、及相关实验或仿真
2、按时作设计报告。
3、上交完整的设计报告书
四、设计程序
1、 简要的设计要求与数据资料
1.1、电源输入电压:单相交流50HZ ,200~240V
1.2、输出电压:0-220V
1.3、调压方式:自耦变压器分档+晶闸管无触点开关+开环或闭环自动控制
1.4、电源控制:空气开关+接触器+ 起动/停止按钮
1.5、保护:熔断器过流保护+压敏电阻过压保护
1.6、显示:输入有电发光二极管显示,输出电压表,分流器+电流表显示
2、系统总体设计
2.1、系统总体结构图:
2.2、系统总体说明:
当输入电压ui=200~240V 时,电压经过开关控制。当开关闭合时,开关控制电路电源显示,说明电路正处于工作状态;然后电压经过流过压保护装置,送到调压控制装置和自耦变压器,在调压控制下,触发晶闸管,从而控制自耦变压器的变比k ;最后电压ui 经过自耦变压器再经过电压电流显示,输出电压。
3、系统环节电路设计
3.1、电源控制、显示与保护电路设计:
(1)、电路图(电源开关、接触器与起动/停止控制电路、发光二极管电路,熔断器、压敏电阻、电阻、电容)
(2)、工作原理说明:
1)、电路闭合工作原理:当电源开关S1闭合,输入电压ui 经过电路熔断器
(防止过流),按下起动按钮开关S2,接触器JC 处于工作导通作态,使开关 JC-1、JC-2,JC-3闭合,从而电路导通,发光二极光显示亮,工作状态正常。
2)、电路断开工作原理:按下停止按钮开关S4,开关电路断开,接触器J 使开关K 、S3断开,从而整个电路断开。
3.2、自耦变压器的设计
变压器变比的计算:
当1000W 全桥软开关电源采用PQ50/50芯片时先给出主功率变压器原边绕组的圈数计算公式和计算过程。考虑到UC3875的最佳工作频率,又因为采用了高频开关特性良好的MOSFET 功率管,所以选取开关频率为100KHZ 。
首先根据功率容量Ap 乘积公式来进行估算。为了多留些余地,可再减小主功率变压器的最大工作磁通密度Bm=1000GS,由计算式得到: 1000*106Pt *106Ap=Ae*Aq=Pt*10==5.56 2ηfBm δKmKc 2*0. 9*100*103*1000*2*0. 5*1
当最大磁通密度选用1500GS 时,功率容量降低到3.7。若开关频率降低到
50KHZ ,则功率容量乘机增大一倍约11.12,余量就小了。
PQ50/50铁氧体磁芯的有效中心柱截面积为Ae=3.1416cm2它的磁芯窗口面
积为Aq= 4.18 cm2, 因此PQ50/50的功率容量乘积为:
Ap=Ae*Aq=3.1416*418=13.2
可见,在开关频率为100KHZ 时,采用PQ50/50铁氧体磁芯做1000W 主功率
变压器,它的功率容量是合理的。
再来计算原边绕组的匝数值: 314*108Vin max*108 27. 15 Np==34*100*10*1000*3. 14164fBmAe
3.3、 晶闸管触发电路
1) 触发脉冲的宽度应保证晶闸管可靠导通,对感性和反电动势负载的变流器应采用宽脉冲或脉冲列触发,对变流器的起动、双星形带平衡电抗器电路的触发脉冲应宽于30o ,三相全控桥式电路应采用宽于60o 或采用相隔60o 的双窄脉冲。
2)触发脉冲应有足够的幅度,对户外寒冷场合,脉冲电流的幅度应增大为器件最大触发电流的3~5倍,脉冲前沿的陡度也需增加,一般需达1~2A/μs 。
3)所提供的触发脉冲应不超过晶闸管门极的电压、电流和功率定额,且在门极伏安特性的可靠触发区域之内。
4)应有良好的抗干扰性能、温度稳定性及与主电路的电气隔离。
闸管触发电路的作用是产生符合要求的门极触发脉冲,保证晶闸管在需要的时刻由阻断转为导通。
3.4、调压控制电路设计
(1)输出电压检测与控制电源电路设计
3.5、输出电压、电流表选择
,
输出电压表:量程为0~300V
输出电流表:选带电阻的分流器电流表量程为0~20A
五、电路仿真
(1)阻感负载下稳态式α的移相范围应为φ≤α≤π。
取α=60度时电路波形如图5—1
图5—1电路波形
波形分析:在α=60度的时候方波脉冲触发上面的晶闸管导通,此时电路开始导通,负载电流由零开始逐渐增大,在负载电压过零后一段时间电流衰减为零。再经过一小段时间下面的晶闸管被触发导通,电流反向逐渐增大至峰值,然后再衰减至零。负载电压在晶闸管被触发导通的时候跟随电源电压,当电源电压过零时,由于存在电感,负载电压过零,知道晶闸管两端反向截止,负载电流为零,负载电压为零。当负载通过电流时,负载电压再次跟随电源电压变化。
(2)α=90度时电路波形如图5—2
图5—2电路波形
此波形分析与在α=60度时相似。
(3)在α=180度时电路波形如图5—
3
图5—3电路波形
此时电流为理想的正弦波,负载电压也为正弦波且超前负载电流φ角,由此了的阻感负载下稳态时的α移相范围为φ≤α≤π。
(4)如上所述,阻感负载时α移相范围为φ≤α≤π。但是α<>
图5—4电路波形
当φ<><><φ,vt2提前导通,负载l 被过充电,其放电时间也就延长,使得vt1结束导电时刻大于π+φ并使vt2推迟导通,vt2的导通角当然小于π。此时wt="" 的范围就不再是α≤wt="" ≤α+θ,="" 而是扩展到α≤wt="" ≤∞,="" 因为这种情况下i="" 0已不存在断流区,其过渡过程和带r--l="">φ,vt2提前导通,负载l>
电路完全相同。可以看出,I 0由两个分量组成,第一项为正弦稳态分量,第二
项为指数衰减分量。在指数分量的衰减过程中,VT1的导通时间逐渐缩短,VT2的导通时间逐渐延长。当指数分量衰减到零后,VT1和VT2的导通时间都趋近到π, 其稳态的工作情况与α=φ时完全相同。
六、相关性能,调试,使用,改进说明:
(1)相关性能:
采用补偿式无触头交流全自控稳压电源,采用控制稳压电源一次电压来改变二次补偿电压,解决线路调压瞬间断电现象。使用开环调节,调整输入电压而达到输出目的电压。使用大功率晶闸管来控制调压,因而工作十分可靠,使用寿命极长,能连续无故障运行。电源控制显示保护能够保证稳压电源工作更加有保障和安全。采用空气无触点开关,响应速度极快,容量为30KVA ,实现了无触点调压,具有稳定性能好,效率特高等优点,对电网无污染,能在各种恶劣的电网和复杂的负载下可靠地连续工作。
(2)、调试,使用:
1)当输入电压ui=200~240V时,如230V (即在档2内),电压经过开关控制,电源开关S1闭合后,输入电压ui 经过电路熔断器(防止过流),按下开关S2,接触器J 处于工作导通作态,使开关K 、S3闭合,从而电路导通。开关控制电路电源显示,说明电路正处于工作状态;
2)然后电压经过过流过压保护装置,送到调压控制装置和自耦变压器。在调压控制电路,输入电压经过变压器与可变电阻,输入给电路中比较器, 由LM339输出之前设计好的各档位。
3)经过比较器,调压控制电路输入输出高低电平而触发电路,从而达到调
档输出电压值。
(3)、改进说明:电路控制方面可以改进为闭环控制,已达到全自动,由系统内部自己调节。调压控制电路部分可以采用集成片,使整个电路电路图显得更加简洁,原理更清晰。或者可以试着采用单片机调节控制整个电路,这样使用编程更加准确的控制调节电路 。
七、元器件清单:
八、课程设计体会与总结
此次《电力电子技术》课程设计顺利完成,设计了单相交流稳压电源。设计按照指导老师提示的课程设计指导书做参考综合了其他资料,并最终汇总成这一份完整的课程设计报告。此次课程设计内容个人觉得相对还是有点难度和深度,运用到的知识面比较广,综合了电力电子电路及综合模拟电路, 数字电路, 自动控制原理各门学科,所以完成起来有了一定的困难,也碰到很多设计中不懂的问题。特别是对每部分电路设计的原理说明和工作过程都比较模糊。触发电路设计时也要考虑很多因素,最起码的一点就是双向晶闸管要接地。本次课程设计利用了protel 设计软件为辅助完成电路图设计,很多元器都要查找和查阅资料才能找到,花费也较长时间,而且有一些需要自己画。列元件清单时,元件的型号,参数设计也是较头疼的部分,需要结合实际配元器件,还要符合设计要求。
通过此次课程设计掌握电力电子电路及综合模拟电路, 数字电路, 自动控制设计的各环节基本内容与要求, 完成将来实际工程设计的必需的基础训练。此课程设计涉及的知识难度较大,设计需要较长时间,而且网上的资源比较有限,需要去图书馆参考各种资料。但是这也让我对有关交流稳压电源的知识有更多的了解,深深体会到了设计需要的知识面有多广,需要较强的自学和选读参考资料的能力。
九、参考文献
[1] 王兆安. 电力电子技术. 第四版. 北京:机械工业出版社,2003
[2] 刘胜利 现代高频开关电源实用技术 电子工业出版社 2001.9
[3] 苏玉刚 电力电子技术 重庆大学出版社 2004.3
[4] 叶慧贞 开关稳压电源 北京:国防工业出版社 1990
[5]张占松 高频开关稳压电源 广州:广东科技出版社 1993[6] 周志敏 开关电源实用技术 人民邮电出版社 2004.1
[7] 张占松 高频开关稳压电源 广州:广东科技出版社 1993
范文四:智慧型交流电源稳压器
产品特点:
效率可達98%
輸入功率因數近似恆定為1
不干擾市電
滿載額定輸出功率等效於Kw
200%之極高過載能力
最高效率、最低運轉費用
熱量損耗低、噪音低
是一種有效的綠色淨化技術,符合環保要求
【產品介紹】
低環境污染—
對自然環境不良影響極小,幾乎完
全由可循環利用的材料構成。
節省資金—
將兩年節省下來的電費加在一起,您可添購一台新的APS 。選用APS 的優勢是顯而易見的—從 使用的第一天起,它節省的電費就能產生投資回報。
由於高效率和功率因數被校正后的輸入,使得所需的輸入電纜、無熔絲開關、空調系統的規 格都較市面上其他產品要小得多,可以節省開支。
APS 的高功率因數—
具有修正功率因數的功能。不管負載和市電電壓如何變化,輸入功率因數近似恆等於1。使 用的導線和無熔絲開關相對較小。
不干擾電網—
越來越多國家的電源供應站正在著手制定一個有關市電失真的新規定。超過這個規定標準就 會大大增加成本,大部份電源系統都會遇到使市電失真的麻煩。這些失真必須通過大型濾波 器來消除(按照EMC 規定),但這些濾波器又會進一步降低效率。一直保持市電輸入電流為 正弦波,所以從根本上解決了這個問題,達到了EMC 要求。
低熱量損耗—
設備本身的運行可節省大量資金,由於熱損失較低,它還可以節省用於空調系統的費用;如 果將節省空調費用也考慮進去,這個數字將更高。
功率控制—
傳統的AVR (電子式穩壓器)與發電機的匹配存在著很大的問題:SCR 電路產生的強烈浪湧電 流在輸入變壓器中產生了過高的激磁,SCR 換相也將使市電產生很大的失真。因此,需要顯 著增大發電機的容量以減小其輸出電壓的失真,而這些失真是由SCR 電路固有的性質所造成 的。 若使用APS 系列穩壓器你不需要選用過大容量的柴油發電機。因為APS 系列的正弦波輸 入電流沒有失真反饋至柴油發電機。
小型量輕—
體積精巧只有傳統機型的1/4或更小,低安裝/運送成本。
APS (AC POWER STABILIZER), 智慧型
交流電源穩壓器, 創新的設計 我們的
產品不但獲得了中央標準局 AVR 電路
及電子切換開關等六項專利, 行銷世界
四十餘國獲得客戶一致好評
【綠色淨化電源】—
所謂綠色淨化電源的定義,必須達到下列目標
三高(高效率、高功率因數、高可靠度)
三低(低諧波污染、低環境污染、低電磁干擾)
一小(小型量輕)
范文五:单相交流电源自动稳压器
《电力电子技术》
课程设计
指导老师:成绩:
一、设计目的:
通过课程设计,掌握电力电子电路及综合模拟电路,数字电路,自动控制设计的各环节基本内容与要求,完成将来实际工程设计的必需的基础训练。
二、设计内容:
单相交流电源自动稳压器
调压方式:自耦变分级+晶闸管无触点开关+开环或闭环自动控制性能指标:容量3KVA,输入2000~240V,输出220±5V
三、设计要求
1、学生在教师指导下,参照设计程序,完成系统总体方案设计、各环节(主电路、自动调压与触发电路、电源控制及保护显示电路等)的结构设计、设计原理说明、电路图、各元件的计算选择、及相关实验或仿真
2、分组作设计报告。3、上交完整的设计报告书
四、设计程序
1设计要求与原始资料
1.1、容量:额定容量3KVA 额定电压220V 额定电流15A
1.2、电源输入电压:单相交流50HZ,200~240V1.3、输出空载电压:220±ΔV=220±5V
1.4、调压方式:自耦变压器分档+晶闸管无触点开关+开环或闭环自动控制1.5、电源控制:空气开关+接触器+起动/停止按钮1.6、保护:熔断器过流保护+压敏电阻过压保护
1.7、显示:输入有电发光二极管显示,输出电压表,分流器+电流表显示2、系统总体设计
2.1
、系统总体结构图:
2.2、系统总体说明:
当输入电压ui=200~240V时,电压经过开关控制。当开关闭合时,开关控制电路电源显示,说明电路正处于工作状态;然后电压经过流过压保护装置,送到调压控制装置和自耦变压器,在调压控制下,触发晶闸管,从而控制自耦变压器的变比k;最后电压ui 经过自耦变压器再经过电压电流显示,输出电压。3、系统环节电路设计
3.1电源控制、显示与保护电路设计
(1)电路图(电源开关、电源控制电路、保护电路)
熔断器:4.5~5A压敏电阻耐压:450v电阻阻值:R1:150Ω
R2:150Ω
接触器电压:AC220V电容:5μF
(2)工作原理说明:
1)、电路闭合:当电源开关S1闭合,电源输入电压经过熔断器,按下
起动按钮开关QA,接触器JC 处于工作导通作态,使开关JC1、JC2闭合,从而电路导通,发光二极光显示亮,工作状态正常。
2)、电路关断:按下停止按钮开关TA,开关电路断开,接触器JC 使开
关JC1断开,从而整个电路断开。
3.2、自耦变压器设计(1)输入额定电压
电流
。
(2)输出额定电压分档:输入电压:200~240V则:K=224/216=1.037根据等差分档原则:
最低档Ul<=(220 40)*224="205.3最高档Um">=(220/200)*216/0.95=250U2<>
取档差△U=7V均匀分档:220V、227V、234V、241V、248V、255V>250V
213V、206V、199V<>
档间配合:206/199=1.035<>
选取设计输出电压216~224V
分档1
2248
3241
4234
5227
6220
7213
8206
9199
U 2550
(3)电路图:
3.3调压主电路设计(1)电路图
.(2)晶闸管选择:双向晶闸管
电压:(2~3)*电源峰值电压=800V电流有效值:(2~3)*额定电流=50A。选型:确定触发电流20mA
3.5
输出显示与开关电路
3.6、控制电路设计(1)电源与同步信号电路电路图
U0
触发电源E3
12V
U0
触发控制电源E2
5V
Us
同步信号输出波形:
(2
)触发电路
(3)输出电压检测
U0
(4)单片机电路设计
仿真电路图:
仿真程序:
ORG
0000H
MOV 30H,#0SETB P3.2
; 外部中断0入口地址
MOVX @R0,ALCALL DLY
; 外部中断1入口地址
BB:
MOV A,P2CJNE A,45H,LOOP1LJMP DIR
START:
; 取个、十、百位LOOP1:CLR EX1
MOV MOV MOV MOV
R5,#00H60H,#00H30H,#045H,#0FFH
; 外部中断0为边沿触发方
CLR IT1MOV 45H,A MOV A,R5MOV B,#10DIV AB MOV 30H,A
SETB EX0SETB EA CLR
P3.5
; 允许外部中断0中断;CPU 开放中断;P3.0必须为0
MOV A,B MOV 78H,A MOV A,30H
; 换档后再去显示; 启动A/D转换
AJMP START ORG
0003H
AJMP INT ORG
0013H
AJMP INT00ORG
0100H
SETB IT0
式
MOV B,#10DIV AB MOV 30H,A MOV A,B MOV 79H,A MOV A,30H MOV B,#10DIV AB MOV 30H,A MOV A,B MOV 7AH,A
; 动态显示DIR:
MOV DPTR,#TAB0SETB P3.0CLR P3.1CLR
P3.4
MOV A,78H MOVC A,@A+DPTRMOV P1,A LCALL DLY MOV P1,#0FFH
CLR P3.0CLR
P3.4
SETB P3.1MOV A,79H MOVC A,@A+DPTRMOV P1,A LCALL DLY MOV P1,#0FFH
CLR P3.1CLR
P3.0
SETB P3.4MOV A,7AH MOVC A,@A+DPTR
MOV P1,A
LCALL DLY MOV P1,#0FFH
MOVX @R0,A; 启动下一次
LCALL DLY LJMP BB
; 中断INT:
MOVX A,@R0MOV
R2,A MOV R1,#0B0HMOV R3,#07HMOV
R4,#0
; 比较转换得出的数字量大小B1:
MOV
40H,R1
COM:
CJNE A,#0E9H,NEQ0LJMP L0
NEQ0:JC L0HIG0:MOV R4,#9
MOV A,R4
LJMP S9
L0:
CJNE A,40H,NEQ LJMP A1
NEQ:JC L HIG:
MOV A,40H ADD A,R3MOV R1,A MOV A,R2
INC R4LJMP
B1
; 散转L:
MOV A,R4
CJNE A,#0,S1;A
S1:
CJNE A,#1,S2;B0
S2:
CJNE A,#2,S3;B7
S3:
CJNE A,#3,S4;BE
S4:
CJNE A,#4,S5;C5
S5:
CJNE A,#5,S6;CC
S6:
CJNE A,#6,S7;D3
S7:
CJNE A,#7,S8;DA
S8:
CJNE A,#8,S9;E1
S9:
CJNE A,#9,$;E8
A1:
MOV DPTR,#TAB1MOV
A,R4
CJNE A,60H,DD
; 判断A 中的内容与之
:前的内容是否相同A2:
MOVC A,@A+DPTRMOV R5,A
LJMP A3
DD:SETB EX1SETB IT1MOV 60H,R4LJMP A2
A3:RETI
INT00:MOV DPTR,#TAB2
MOV A,R4MOVC A,@A+DPTR
LCALL DLY1LCALL DLY1
MOV P2,A
RETI
TAB0:DB
0C0H,0F9H,0A4H,0B0H,99H,92H,82H DB
0F8H,80H,90H,0FFH
TAB1:DB 0,199,206,213,220,227,234,241,248,255TAB2:DB
0FFH,0FEH,0FDH,0FBH,0F7H,0EFH DB
0DFH,0BFH,07FH,07FH
DLY:MOV R6,#40DL:
MOV R7,#20DL1:
DJNZ R7,DL1DJNZ R6,DL
RET
DLY1:MOV 55H,#200DL2:
MOV 56H,#200DL3:
DJNZ 56H,DL3DJNZ 55H,DL2RET
END
设计原理:输出电压Uo 通过变压器和整流电路,转化成A/D转换器ADC0808可以接受的0~5V电压;接着是ADC0808按照我们设定的时间周期对输出电压进行扫描并将模拟信号转化成数字信号,随后将信号传输到单片机AT89C51中进行处理。单片机AT89C51的处理将实现该时刻系统电压的显示和对出现的电压差进行修正,做到自动稳压。五、系统电路图:附后六、元器件清单:
2728293031323334353637
U2U3U5
C1, C2C3
74LS28NOT ADC0808电容电容电阻电阻发光二极管
RX8CRYSTAL 显示器
30nf 10uf
21121199111
R10R1-R9D1-D5RN1X1LCD
10k 1k
工作u=2V,IF=10mA
七、相关性能,调试,使用,改进说明:
(1)相关性能:采用单片机技术的交流全自控稳压器,实现稳压器闭环自动稳压,无须人为进行操作调压。单片机稳压系统会按照设定好的扫描周期对系统电压进行周期性扫描,并将扫描数据传送到单片机AT89C51进行处理,根据电压的比较来选择触发双向晶闸管,实现电压的调节。
(2)、调试,使用:
1)当输入电压ui=200~240V时,如235V(即在档6内),电压经过开关控制,电源开关S1闭合后,输入电压ui 经过电路熔断器(防止过流),按下开关S2,接触器J 处于工作导通作态,使开关K、S3闭合,从而电路导通。开关控制电路电源显示,说明电路正处于工作状态;
2)然后电压经过过流过压保护装置,送到调压控制装置和自耦变压器。在调压控制电路,输入电压经过变压器与可变电阻,输入给控制电路,由ADC0808扫描并进行A/D转换,然后送到单片机AT89C51
3)经过单片机AT89C5处理,选择输出低电平给触发电路,从而触发选择到的双向晶闸管,实现调压。
八、总结(设计过程,完成情况,收获,体会,建议,意见)
本次《电力电子技术》课程设计在超级紧张的时间里圆满完成,设计了结合单片机技术的单相交流电源自动稳压器。本设计按照课程设计指导书并结合多方面资料,并最终完成这一份完整的课程设计报告。此次课程设计内容是最有难度,运用到多个科目的知识,综合了电力电子电路、模拟电路、数字电路, 、自动控制原理、单片机等学科,使用了Matlab 、Protues 、DXP Protel 、Multisim 软件进行仿真设计,对于本次课程设计非常有难度的。所遇到的问题蛮多的:设计时对元器件符号型号不熟悉,导致列元件清单说不清;设计时,多个仿真软件都可以
进行仿真,但是仿真的效果差别很大,导致无法的得到想要的结果,最后通过咨询老师。
通过此次课程设计掌握电力电子电路、模拟电路、数字电路、自动控制原理、单片机的各环节基本知识, 熟悉了Matlab 、Protues 、DXP Protel 、Multisim 仿真软件,完成了此次课程设计。同时,查阅了许多有关单相交流电源自动稳压器的知识,了解到这一方面的发展。最后,由于这次课程设计涉及的知识广,设计需要较长时间,需要强调的是在大三接近期末这段时间来做这个设计,对我们来说很痛苦的。因此建议老师以后可以适当将设计时间延长,可以延迟到暑假阶段,让我们有充沛的时间去完成,并且更加充分运用知识,通过实践去将理论知识更加深入理解。
附件:
转载请注明出处范文大全网 » 2012年二级建造师辅导-正
=(220>=not>