软件无线电技术及其应用
肖祥兴
【摘
要】无线通信的重要作用及广泛
应用使得各种通信系统无法互通和兼容的缺点日益突出。为解决互通性问题,MlLTRK公司的Jeo电(software
defines
Mitola于
软件无线电具有整体的可编程性,因此它能够在单一的结构中实现多模和自适应工作;而开放式的系统结构则使得软件无线电可以灵活配置系统,通过软件的适当调整或具有开放互连结构(总线接口)的功能模块的更改实现系统功能的改变,不需要重新设计系统,特别是硬件系统。在A/D变换后的所
有处理都使用可编程芯片DSP由软件编程实现。因此,这种体系结构具有很多工作模式强的通用性,是实现多频段、和多用户通信的最佳途径。
实践中,依A/D位置不同和采样方式不同主要常采用三种基本结构:射频低通采样数字化结构,射频带通采样数字化结构和宽带中频带通采样数内主题活动中,将创先争优活动与生产有机结合,开展设备隐患排查整改、“安康杯”、“青安岗”竞赛、合理化建议、群众性技术攻关及自主创新等活动,引导广大党员立足本职岗位争创一流业绩。围绕公司生产经营和改革发展目标,深入推进群众性经济技术创新活动,公司组织开展了“技术创新、质量创优、安全创稳定、管理创水平”劳动竞赛。各水渣输出车间围绕“提高装车质量、杜绝水渣漏洒”的目标开展创先争优活动,制
三是带动各项工作创新增效
1992年5月首次明确提出了软件无线
radio)的概
开放念,用以研制出具有高度灵活性、性的新一代无线通信系统。
【关键词】软件无线电;关键技术;应用;
字化结构。
射频低通采样数字化结构最接近理想结构,但此结构对ADC性能及
DSP要求过高,受限于器件水平,在相当长时期内非常难以实现。为射频带通采样结构,尽管较前种结构在A/D前先经过窄带滤波放大再带通采样,对ADC和DSP的要求大幅降低,但仍然存在要求较高的ADC性能,实现起来还是有相当难度的;同时需要分频段来实现较宽的工作带宽;此外多个采样频率也增加了系统的复杂程度宽带中频带通采样结构与常规的超外差式接收机类似,但较常规接收机的窄带,软件无线电接收机使用宽带中频。这样对比前两种结构,不仅不需要第一种结构所化创先争优活动。后勤保卫中心以“提高服务水平、全力保障主业,推进改革创新、确保队伍稳定”为主线推动创先争优活动深入开展。设备系统设置“修旧利废展示台”,以创先争优活动推动降本增效工作,全年通过修旧利废和减少备品备件和材料采购等各项措施,共降成本约500万元。通过最大限度的调动广大职工的积极性,实现了公司各项工作的创新增效。
一、软件无线电技术平台关键技术
1、软件无线电的结构
软件无线电的宗旨就是尽可能简
化射频模拟前端,使A/D转换尽可能靠近天线,以完成模拟信号的数字化,而数字化的信号则尽可能多地用软件进行处理,以实现各种功能和指标,其标准结构图1所示。
模范带头作用,做到有党员的班组、岗位干劲更大、指标更高、业绩更优,示范带动作用更明显。通过评选表彰“节约标兵”、“节约能手”、“两化先锋青年”、“十佳青年标准示范岗”、“十佳青年降本增效示范岗”等活动,使职工群众广泛参与到创先争优活动中,形成共创良好局面。继续推进公司创先争优活动向纵深开展,发动各级党组织和广大党员为实现公司全年生产经营目标再立新功。
要求全体党员按照“五在前”要求积极投身于党员责任区、降本增效等党
订新的装车质量考核办法,提升客户满意度,有力促进了企业生产经营。市场销售部门围绕“外拓市场、增收创效”深
(作者单位:武汉钢铁集团金属资源公司)
观察思考
要求的超高速采样,也不要求第二种结构所需的高精度、高工作带宽所要求的采样保持放大器,使A/D大大简化,这是射频前端复杂性所带来的好处。在A/D器件无法满足要求的情况下,增这种结构将加一点复杂性也是值得的。
是近期软件无线电一种可行的设计方案。
2、软件无线电的关键技术
基于软件无线电的宽带多频段、多波束天线与智能天线软件无线电的天线要求有10倍频程以上的工作带宽,一般在1MHz-3GHz左右。根据天线物理尺寸与信号波长的关系,这种宽频段天线按传统的方法是无法实现的。而实用中并没有必要全频段覆盖,只需要覆盖不同频段的几个窗口,因此可以采用组合宽带多频段天线和多波束天线。智能天线不仅在蜂窝移动通信系统中有着极其重要的作用,而且在军事上,特别是快速展开无线网络(ImIⅢ)中发快速展开与移挥着越来越重要的作用。
动意味着要在非常有限的时间内来进行预先的频率、拓扑结构与一些特定功能的规划。
3、高速数字处理技术(DSP)在软件无线中,具备强大处理能力的数字处理核心是软件无线电技术理想的软件无线电系统要的关键所在。
求对整个高频波段进行数字化,而且其后续的中频处理基带,比特流及其它功能都必须用软件来实现。但这些数据流量大,进行滤波、高频等处理运算次数多,这就要求DSP必须采用高效、实时、并行的数字处理器模块或采用集成电路来处理。在单个DSP处理器(DSPs)无法实现的情况下,可采用多个DSP技术,即DSP单元由多个DSPs或FPGAs(现场可编程门阵列处理器)和DSPs等混合体组成。其中,FPGAs具有DSPs具强大的现场调整功能的能力,有高速数据处理能力,两者优势互补。
4、软件无线电信息安全与网络功能以往软件无线电技术侧重于模块化和用软件来实现调制解调。目前,信息安全成为其必须考虑的一个方面,特别是军用软件无线电。加密处理计算软件可以被信息安全子系统分配到指定
1、蜂窝移动通信系统
在蜂窝移动通信系统中,基站和移动终端采用软件无线电结构,硬件简单,功能由软件定义。射频频段、信道访问模式及信道调制都可编程。在此系统中,软件无线电的发射与其它系统不同,它先划分可用的传输信道,探测传播路径,进行适合信道的调制,电子控制发射波束指向正确的方向,选择合适的功率,然后再发射。接收也同样如此,它能划分当前信道和相邻信道的能量分布,识别输入传输信号的模式,自适应抵消干扰,估计所需信号多径的动态特征,对多径的所需信号进行相关合并和自适应均衡,对信道调制进行栅格译码,然后通过FEC译码纠正剩余错误,尽可能降低误比特率。此外,软件无线电能通过许多软件工具增加增值业务。这些软件工具能帮助分析无线电环境,定义所需的增加内容,在无线环境下,测试由软件开发的增值业务样板,最后再通过软件或硬件开放该增值业务。
2、智能天线
智能天线最初用于雷达、声纳及军事通信领域,由于价格等因素,一直未能普及到其它通信领域。近年来,数字信号处理技术迅速发展数字信号处理芯片的处理能力不断提高,芯片价格已可接受,同时,利用数字技术可在基带形成天线波束,取代了模拟电路,提高的信道,在明文工作模式时,它只提供简单的总线到总线的连接。在需要时,它可以对数据流提供实时的加密解密。例如,可以采用跳频软件,根据保存在信息安全模块中跳频图案进行频率更新。
5、高速总线
软件无线电中采用总线结构,各功能部件之间的相互关系成为面向总线的单一关系。这样使无线通信产品易于实现模块化、标准化和通用化。例如,摩托罗拉公司为“易通话”计划设计了一个宽带的发送接收单元,它采用PCI总线,具有良好的用户界面。
了天线系统的可靠性和灵活程度,在我国的TD—CDMA方案中,基站采用智能天线技术,利用数字信号处理技术识别用户信号到达方向,形成天线主波束。引入空分多址(SDMA)方式后,根据用户信号不同的空间传播方向,提供采用数字方法对阵元不同的空间信道。
接收信号加权处理,形成无线波束,使主波束对准用户信号方向,达到抑制干扰的目的。
3、卫星通信
在当今通信领域中,卫星通信是最重要的通信方式之一。但是,由于目前卫星通信系统设备种类繁多,设备管理和维护工作复杂,使得卫星通信系统更新换代周期长,不能很好地适应现代高科技的发展步伐,而软件无线电以其软件定义功能和开放式模块化结构的技术思想能很好地解决卫星通信系统存在的问题,因此,研究具有软件无线电特征的卫星通信系统很有意义。在卫星通信系统中,系统功能主要指多址方式网络结构、组网协议和通信业务等。而设备功能指接口标准、调制解调方式、信道编码方式、信源编码方式、信息速复用方式等。软件无线电技术思想率、
就是采用先进的技术手段,使得上述功能可以用软件来定义,通过友好的人机界面,人们可以在不改变硬件设备的情况下实时地改变通信系统的功能从而使该系统能适应各种应用环境,因而具有很强的适用性和灵活性。
二、软件无线电技术的应用
三、小结
软件无线电的各种功能是用软件实现的,由于这一概念所包含的灵活性、开放性等特点,使其不仅在军、民无线通信中获得了应用,而且被推广到诸如电子战、雷达、信息化家电等其他领域。目前软件无线电更多的是以一种概念和猜想的形式出现,具体定义和体系结构尚无定论,而软件无线电作为未来通信乃至未来无线电技术的发展方向,其研究应用有着极具实际的意义和广阔的前景。
(作者单位:贵州省盘县大山镇科技教育文化服务中心)
软件无线电原理与工程应用
3G/B3G核心技术丛书
软件无线电原理与工程应用
姜宇柏
游思晴
等编著
w
机械工业出版社
w
w
.g
ol
de
n-bo
ok
.c
om
软件无线电强调技术以开放性的最简硬件为通用平台,尽可能地用可升级、可重配置的应用软件来实现各种无线电功能。本书作为一本软件无线电方面的参考书,在内容上力求做到全面和系统,在风格上力求工程化、实用、通俗易懂。本书介绍了软件无线电的基本概念、基本理论、数字信号的生成、同步技术、数/模转换器和模/数转换器、软/硬件平台与算法的工程实现,以及智能天线等方面的内容。
本书内容详尽,理论与实践相结合,适合从事现代通信系统设计、开发和研究的工程师和相关研究人员阅读,也可作为高等院校通信等相关专业师生学习软件无线电的参考书。
图书在版编目()数据CIP
软件无线电原理与工程应用/姜宇柏,游思晴等编著—北京:机械工业出版社,200610
(3G/B3G核心技术丛书)ISBN7111201108
软计算机通信—无线电通信ⅡⅢⅠ①姜②游TN9239Ⅳ
中国版本图书馆C)第1号IP数据核字(200623952机械工业出版社(北京市百万庄大街2号邮政编码1)200037责任编辑:张俊红封面设计:马精明
版式设计:霍永明责任印制:
责任校对:王
欣
印刷厂印刷
年1月第1版第1次印刷2007·印张·千字184mm×260mm1925474—0001定价:
册元
凡购本书,如有缺页、倒页、脱页,由本社发行部调换本社购书热线电话()60108326294编辑热线电话()80108379768封面无防伪标均为盗版
w
w
w
.g
ol
de
n-bo
ok
.c
om
3G/B3G核心技术丛书
编
主任委员:袁超伟委
委
姜宇柏
会
员(排名不分先后):程宝平贾
宁
曾春亮赵
鑫
黄
韬
梁守青汪裕民齐伟民蒋建新
俞一鸣张
宁
杨睿哲孙昌璐
李美玲刘
鸣
王旭莹
w
w
w
.g
ol
de
n-bo
ok
.c
om
丛书序
w
w
w
.g
ol
de
3G/B3G核心技术丛书编委会
年8月2006
n-bo
目前,3G已成为中国传统电信运营企业转型的关键之一,同时成为中国电
信设备制造企业国际化发展的重要机遇。
然而,随着宽带数据和多媒体业务的迅猛发展,第三代移动通信原定目标规定的2的传输速率已经远远不能满足需求,3、4Mbit/sG技术正在朝着B3GG技术方向发展。由于我国至今没有正式颁发3G业务牌照,一方面使得国内很多著名的3G设备制造企业不得不将更多的精力放在国际市场的开拓上;另一方面使得我国可以充分发挥后发优势,在3G网络的建设过程中可以引入各项成熟的新技术,即在我国的3G网络中直接应用部分B3G乃至4G的核心技术,提高我国3G网络的业务能力。
因此,我们策划了这套“,对H3G/B3G核心技术丛书”SDPA/HSUPA技术、I技术、OMSFDM技术、MIMO技术、智能天线技术、软件无线电技术和WiMAX技术等主流的新技术进行阐述,内容涉及3G/B3G网络的无线接入、核心网、智能天线、频分复用、系统设计等多个方面。
希望通过阅读本套丛书,读者能够比较全面地了解3G/B3G系统的各项核心技术,更希望本套丛书能对我国3G/B3G系统的网络建设和产业发展作出一定的贡献。
由于通信技术发展十分迅速,加上编写时间相对紧张,书中难免存在不足,恳请广大读者和专家批评指正,联系信箱b。utzh@163pj
ok
.c
om
前言
软件无线电()技术是2世纪末提出的一种设计思想,它突破了传统电SoftwareRadio0台以硬件为核心的设计模式,将宽带A/D转换器尽可能靠近射频天线,尽可能早地将接收到的模拟信号转化为数字信号,在通用的硬件平台上最大程度地通过软件来实现不同的通信方式。
软件无线电以现代通信理论为基础,以数字信号处理为核心,以微电子技术为支撑,突破了传统的无线电台以功能单一、可扩展性差的硬件为核心的设计局限性,强调以可编程的硬件作为通用平台,尽量地用可升级、可重配置的软件来实现各种无线电功能的设计新思路。软件无线电技术一经提出,就得到了广泛的关注,并成为未来通信系统的发展方向。本书针对软件无线电的若干关键技术———软件无线电体系结构、高速A、信噪比估计技术、DC
同步技术、频率合成技术以及智能天线技术等进行了探讨。在探讨技术的同时,本书注重理论的介绍,使读者不仅对当前工程中常用的软件无线电技术有所了解,而且对理论也有较为深刻的理解,这对技术开发工程师是很有必要的。作者作为软件无线电开发工程师,在多年的开发过程中也深刻地感受到,软件无线电是一个庞大的理论体系,我们只能在其中某个部分做一些工作。然而,做任何一部分工作都需要对软件无线电的技术有全面的、系统的理解。而就现在看来,设计数字信号处理算法的很多工程师根本不懂射频,射频工程师也对数的资料,力图做到全面、系统、深刻地介绍软件无线电的关键技术,希望通过对本书的学字信号处理知之甚少,这在很大程度上减缓了软件无线电的工程实现进展。作者查阅了大量
书。同时对于通信、雷达、程控交换、医疗器械、消费电子、工业控制和航空航天等各个领域的设计工程师和科研人员来说,本书也是一本具有指导和实用价值的技术参考书。本书结
第3章为软件无线电的理论基础,主要介绍了软件无线电所必需的一些理论,包括信号采样理论、软件无线电中的高效滤波器以及多抽样率信号处理理论。本章的理论是学习软件无线电的基础知识,后续的章节都基于本章的理论。
w
础。
w
电台()实例研究及软件无线电电台的设计准则———分段权衡。通过本章的讨论,使读PDR
者能够对软件无线电及其研究方法有整体的了解,为开展软件无线电的研究与开发奠定基
w
.g
第2章为软件无线电的体系结构,主要介绍了规范的软件无线电节点体系、可编程数字
ol
第1章为软件无线电概述,介绍了软件无线电的基本概念、基本结构及发展概况。
de
构如下:
n-bo
现有系统的认识。本书可作为高等院校通信电子类高年级本科生、研究生的教材或教学参考
ok
本书内容丰富、技术新颖、实用性强,可以使读者对软件无线电的关键技术及其工程实
.c
习,读者能够对软件无线电的技术有全面系统的认识。
om
Ⅵ
中国科技金书网 www.golden-book.com
第4章为信号的数字生成,主要介绍了数字成形技术、数字上变频技术及数字频率合成技术。
第5章为高速A,高速模/数转换器、数/模转换器都是软件无线电的关键器件,软DC
件无线电对这些器件的要求很高。本章主要介绍了转换过程、性能参数以及如何在精度、动态范围等参数之间权衡。
第6章为射频及模拟前端,介绍了射频前端设计的基本知识和基本指标,讨论了主要模拟前端器件的基本原理及应用。
第7章为数字下变频及同步技术,主要介绍了数字下变频技术和同步技术。数字下变频技术和同步技术是软件无线电接收机设计和实现的关键部分,也是最困难的部分。通过本章的讨论,使读者能够了解下变频技术和同步技术的数字实现方法以及对系统的性能影响。
第8章为软件无线电中的信号处理算法及有关内容,主要包括调制解调技术、同步算法以及信噪比估计技术等。
第9章为硬件平台介绍,主要介绍了DSP和FPGA以及软件开发平台。第1章讨论了基于软件无线电的智能天线,讨论了智能天线的基本概念、智能天线的0结构、智能天线中的波束赋形DOA估计技术。
本书由姜宇柏和游思晴编写,书中包含着作者多年来软件无线电设计技术开发工程项目的经验总结。但作为软件无线电开发实现方面的工程师,作者很难精通软件无线电所有的关键技术。因此从这种意义上说,本书可以看成对当前的应用比较广泛的软件无线电技术的一个总结,这实际上是软件无线电工程师们共同的成果,这里向广大同行表示由衷的感谢。同时,在本书的编写过程中,张学静、吴鹏、潘天保、张博、赵鑫、李晓凯、张凯、齐兆群、张蓬、蒋建新、姜海燕、尤晓丽、夏钦东和杜平完成了全书的资料收集和整理工作,并完成了全书的文字校对和部分章节的编写工作,这里对他们的辛勤劳动一并表示感谢!
由于软件无线电技术发展迅速,各种相关新技术不断涌现,同时限于作者的理论水平和实际开发经验,书中难免存在一些错误或不足之处,恳望广大读者和相关专家批评指正。
om
作
w
w
w
.g
ol
de
n-bo
ok
.c
者
目
丛书序前言第1章
软件无线电概述……………………1
11软件无线电的概念………………………112软件无线电技术的发展概况……………2121目前国际上软件无线电技术的
研究水平……………………………2
122通用及专用DSP芯片的发展
现状…………………………………3
123软件无线电技术在3G及4G中
的应用………………………………3
13理想的软件无线电及其限制的
解决思路…………………………………5
录
第4章
信号的数字生成…………………53
41基带成形滤波…………………………53411基带成形原理……………………53412成形滤波器的设计………………53413基带成形滤波器的实现…………5642频率合成技术…………………………59421直接数字频率合成器的工作原理…61422数字锁相频率合成器工作原理…64423直接数字频率合成器和数字锁相
频率合成器的特点………………68
424直接数字频率合成器和数字锁相
频率合成器的性能分析…………74
输出信号的425参考时钟对DDS
影响………………………………82
426直接数字频率合成器的硬件
实现………………………………85
427直接数字频率合成器的性能
改进………………………………89
428设计和实现频率合成器的考虑
因素………………………………90
芯片A简介…9429高性能DDSD98547在数字调制中的典型4210AD9854
应用………………………………98
参考文献……………………………………10051D/A转换原理…………………………102511权电阻D/A转换器………………102513权电流型D/A转换器……………104514D/A转换器的主要指标…………105521采样和保持………………………105522量化与编码………………………106523采样—保持电路…………………106524并行比较型A/D转换器…………107525双积分型A/D转换器……………109526逐次比较型A/D转换器…………109
第2章软件无线电的体系结构…………7
21体系结构简介……………………………722体系结构研究……………………………823评价软件无线电系统的软件程度
的“矢量”………………………………9)实例研究……124可编程数字电台(PDR0参考文献………………………………………11
第3章软件无线电的理论基础…………13
采样定理…………………………13
312自然抽样的脉冲调幅……………16313平顶抽样的脉冲调幅……………17314带通采样技术……………………17315带通采样对信号的影响…………2032采样率变换原理………………………24321整数倍抽取………………………25322整倍数内插………………………29323比值为有理数的采样率变换……3033高效数字滤波器………………………38331积分梳状滤波器…………………39332半带滤波器………………………42333FIR低通滤波器……………………44参考文献………………………………………52
w
w
w
.g
52A/D转换器原理………………………105
ol
de
512倒T形电阻网络…………………103
n-bo
第5章高速ADC…………………………101
ok
——N311基本的采样理论—uistyq
.c
31信号采样理论…………………………13
om
Ⅷ
53ADC的技术指标分析…………………111531ADC分辨率与量化电平…………111532无杂散动态范围…………………111533量化方法与量化误差……………111534互调失真…………………………113535信噪比……………………………113536转换时间…………………………114537有效位数…………………………114538D/A转换器的转换精度…………114539总谐波失真………………………1155310信纳比…………………………1155311全功率模拟输入带宽…………11654超高速数据采集系统…………………116541超高速视频闪烁A/D转换器……116542基于流水线的ADC结构
………117
543时间扩展型A/D转换器…………121544ΣDC…………………………122ΔA55孔径时间抖动及其对ADC精度的
影响……………………………………124551孔径抖动的概念…………………125552孔径抖动对系统信噪比的影响……126553减小孔径抖动的措施……………12856高速ADC的性能测试
………………128
技术及其应用…………………157Dither30信号的种类………………1571Dither30信号的加入方式……1572各种Dither30信号对A性能的影响……1573DitherDC30信号的具体电路……1574产生Dither3158高速ADC对系统性能的影响及高速
ADC芯片的选择………………………13259高速ADC的硬件设计
………………136
参考文献……………………………………138
622混频器常用技术指标……………147623混频器设计………………………15063小信号低噪声放大器…………………152631主要指标分析……………………152632宽带放大器………………………154633LNA的设计流程…………………156634低噪声放大器的设计理念………157635放大器的级连……………………15864自动增益控制环路……………………16065抗混叠滤波……………………………16266高频功率放大器的原理和特性………163661工作原理…………………………163662负载特性…………………………167663调制特性和放大特性……………168参考文献……………………………………169
第7章数字下变频及同步技术………171
71数字下变频……………………………171711数字下变频过程的时域分析……171712数字下变频过程的频域分析……172713数字下变频的实现………………175714一个基于FPGA全数字下变频
模块的实例………………………176
72同步技术………………………………180721概述………………………………180722载波同步…………………………181724载波同步系统的性能及相位误差723不同载波同步的算法设计对比……186
727数字锁相环抗干扰性能的改善……194728位同步……………………………195731基本特征…………………………200专用D73HSP50210CL芯片……………200
第6章射频及模拟前端…………………139
613噪声系数…………………………141614无杂散动态范围…………………143615灵敏度……………………………144616接收机动态范围…………………145617邻道功率抑制比…………………14662混频器的技术指标设计………………147621混频器基本原理…………………147
w
.g
612级连交调…………………………141
参考文献……………………………………205
w
w
第8章
81软件无线电中的调制解调算法………207811AM调制解调……………………207812FM调制解调……………………208
ol
611三阶交调…………………………139
732基本结构…………………………200733基本单元的原理与功能…………200
de
61无线收发系统的主要技术指标………139
软件无线电中的信号处理
算法………………………………207
n-bo
726数字科斯塔斯环的分析与设计……192
ok
725全数字锁相环路…………………189
.c
对解调性能的影响………………188
om
Ⅸ
813相移键控信号……………………210814正交振幅调制……………………213815最小频移键控……………………216816高斯最小频移键控………………221817正交频分复用……………………22582利用CORDIC计算特殊函数…………231821CORDIC算法的原理……………232822利用CORDIC实现直角坐标到极
坐标转换…………………………233
83软件无线电中的数字正交技术………233、Q通道幅相不平衡的影响831I
分析………………………………234
832几种数字正交分解技术…………23584数字化接收技术………………………239841信号的数字检测原理……………239842数字检测技术的应用……………24085信噪比估计算法………………………242851系统模型…………………………243852误差矢量幅度算法………………243种853估计DQPSK信噪比的4
算法………………………………245
854信号二阶统计量的多项式近似
算法………………………………246
855PSK信号的高阶累量信噪比估计
算法………………………………247
参考文献……………………………………248
912DSP的选择………………………251介绍……………2913TMS320VC54025292现场可编程门阵列及开发环境简介……259921现场可编程门阵列………………259922ISE开发系统……………………260、F93选择ASICPGA或DSP的原则
…261
参考文献……………………………………262
第1章0智能天线…………………………263
101引言…………………………………263102智能天线的优点及应用……………264103智能天线提高系统性能的原理……265104智能天线的理论研究………………267105智能天线的工程实现进展…………268106智能天线分类………………………269107智能天线的理论简介………………2701071波束成形的原理………………2701072波束成形的结构………………276108波束成形算法………………………279波束形成器……………21081Bartlett80波束形成器………………21082Caon80p1083自适应波束形成算法…………281109波达方向估计………………………2901091传统DOA估计的发展…………2901092高阶统计量DOA的发展………2911093循环统计量DOA估计的发展……2911095求根MUSIC方法………………2931097高阶累积量方法………………294参考文献……………………………………2961094MUSIC方法……………………2911096ESPRIT方法……………………293
第9章软件无线电的数字信号处理硬件平台……………………………250
911DSP的结构………………………250
w
w
w
.g
ol
de
n-bo
ok
91DSP简介………………………………250
.c
om
第1章
11软件无线电的概念
软件无线电概述
软件无线电思想是2世纪9年代以后逐渐兴起的一种全新的设计思想,其完整的概念00和结构体系是由MI于1TRE公司的美国科学家JosehMitola992年5月在NTC(Nationalp
)上明确提出,其核心是在通用的通信硬件平台上加载不同的通信软TelesstemsConferencey件,以实现不同的通信方式间的转换。这种全新的设计思想使通信中的无线电台可以适应各种不同的通信方式,软件无线电台良好的兼容性和可编程性使得通信系统的开发主要成为数字信号处理)软件的研究。这将极大地缩短通信系统开发的时间和成本,可以说未DSP(
来采用软件无线电技术的软件无线电台在通信系统中的作用完全可以同通用P个人电脑)C(在计算机领域所起的作用相提并论。
软件无线电技术的出现是电子设计领域中的一次巨大飞跃,将会给电子技术带来深刻变革。软件无线电台的实现采用了全数字技术,但其与第2代全数字接收机有着根本的不同。这种技术采用了通用的DSP硬件平台,具有完全的可编程性。这与全数字接收机专用的硬件芯片结构完全不同,是电子技术领域继模拟与数字技术之后第3次重大的飞跃,必将在未来对电子技术的发展及设计思想的变革产生深远的影响,并且在军用和民用两个方面都将有着广泛的应用。
软件无线电技术的基本思想是将宽带的A/D转换器尽可能地靠近射频天线,即尽可能早地将接收到的模拟信号转化为数字信号,在最大程度上通过DSP软件来实现通信系统的各种功能,其基本的结构框图如图1所示。1
交)解调、低通滤波、位同步提取、信道编码、信源编码、加密等,全部由A/D转换器之后的DSP芯片处理。可见理想的软件无线电台可实现完全的可编程性,因此可以实现通信中的各种调制方式,完全可以根据要求实现F频分多址接入)、T时分多址接DMA(DMA(入)和C码分多址接入)等各种多址方式。DMA(
w
w
可编程性,其后所有的信号处理,包括下变频混频、带通滤波、载波提取、I同相与正Q(
w
显然,理想的软件无线电台是对天线接收的模拟信号经过放大后直接采样,实现完全的
.g
图11软件无线电系统结构框图
ol
de
n-bo
ok
.c
om
2
中国科技金书网 www.golden-book.com
目前软件无线电接收的发展主要还受到硬件发展水平的限制,其主要的技术中涉及以下几项核心技术:宽带天线技术、宽带A/D技术、高速DSP技术以及高性能的互连结构等。
宽带智能天线1软件无线电台工作在较宽的频率范围()内,所配备的天线也必须能够覆2MHz~2GHz
盖整个频段。只有宽带智能天线才能完成此功能,它既有宽的频率范围,又具有能自动感知干扰源的存在并抑制其影响的能力,还具有自动增强所需信号的能力,并可兼容各种无线电通信制式。它是在自适应天线基础上发展起来的新型无线系统,其核心技术主要是智能化接收和智能化发射。
高速宽带A/2D和D/A转换
数字化是软件无线电的基础,模拟信号必须经过采样才能转化为数字信号,以及用软件来处理。然而这些功能均是由A/D和D/A转换器来实现的。A/D和D/A转换器在软件无线电中的位置非常关键,直接反映了软件无线电台软件化的程度。因此,理想的软件无线电应该直接在R射频)上进行采样。但在目前,这样的器件还很难达到要求。只能通过一F(
定时间和技术的发展,逐步提高A/D转换器件的性能,使其位置越来越接近天线,才能最终实现软件无线电的理想目标。
高速D3SP
高速DSP是软件无线电台的核心部分,包括了变频、滤波、成形等一系列操作。对于每一个采样点至少要进行1次操作。一个1的信号,当以2进行采样后,至少000MHz5MHz需要2百万条指令/秒)的处理速度,这是单片D500MIPS(SP难以完成的,工程上一般采用多片高速DSP并行的方法实现信号的处理。
高性能互连4
为了实现一个开放的、可扩展的软件无线电平台,必须打破传统通信平台的流水线结构。因此,要求采用一种全新的交换互连结构来实现各个功能模块之间的互连。
()、G)的所有应用协议,为实PSTNSM移动通信系统和未来的第3代移动通信系统(3G
现各种通信系统的相互兼容提供了一种理想的方法。在军事通信领域,由于软件无线电台所可比拟的优势。
基于软件无线电技术的软件无线电台可以实现目前广泛应用的公用电话交换网
12软件无线电技术的发展概况
121目前国际上软件无线电技术的研究水平
世纪9年代初期,美国军方开始的S军用软件无线电台系统是国际上软件200eakeaspy
无线电技术成功实现的典型代表。此系统在当时技术允许的条件下,采用了可行的方法对理想的软件无线电结构进行了适当的调整,实现了多频段多模式无线电()电台。它MBMMR能同时处理4种不同的调整方式(从A,能够兼容美军1种以上电台。此系统M到QAM)5
w
w
界各国正对此技术进行积极的研究,国外的研究主要沿着实现和前瞻两个方向展开。
w
软件无线电技术目前在全世界范围内才刚刚起步,其最终的公认标准体制尚未形成,世
.g
ol
de
n-bo
ok
具有的完全可编程性,对于未来多军种协同作战的整体指挥和相互通信具有传统通信方式不
.c
om
中国科技金书网 www.golden-book.com
3
大部分功能均由软件实现,具有可编程性,取得了很好的效果。
美国MI麻省理工学院)计算机实验室的S项目是目前国际上软件无线T(ectrumWarep电技术前瞻研究的代表,S提供了无线通信和分布式信号处理的、以软件为核ectrumWarep心的研究方法。处理器和A/虚拟”无线电实现的基础。所谓“虚拟”无D技术的发展是“线电,是指直接从RF频段进行下变频和宽带采样,并把采样数据用软件进行处理。Secp系统从通用计算机体系网络角度出发,实现软件无线电的功能,与DtrumWareSP芯片相比,虽然这在短期内难以实用化,但是这种研究的方法更接近软件无线电技术的理想,具有非常深远的意义。
由于软件无线电技术近几年来的迅速发展,许多通信公司都认识到这种技术本身广泛的应用前景,并且开发出了一系列的具有实用价值的软件无线电产品。美国Sectrum和Bluep
等公司开发的、基于T德州仪器)公司通用D公司D双下变WaveI(SP芯片和HarrisDC(频器)芯片的软件无线电板级产品已经比较成熟。Sectrum公司板级产品采用了8片p
型DTMS320C6201SP芯片和30片DDC芯片,可以同时处理多达30路的FDMA信号。公司的板级产品采用了1片CBlueWave6701型DSP芯片和1片DDC芯片以及1片DUC
(双上变频器)芯片,可以实现1路1带宽信号的全双工通信。这些板级产品都具有良0MHz好的可扩展性,可以根据用户的不同要求对产品配置进行更改。
122通用及专用DSP芯片的发展现状
通用及专用DSP芯片是软件无线电技术发展的基础和前提,因此其发展水平很大程度上决定了软件无线电技术的发展水平。
通用DSP具有极好的灵活性,是软件无线电技术中不可或缺的部分。世界上第1个单片DSP芯片是1978年问世的。目前国际上最成功的DSP芯片生产商当数美国德州仪器
不同浮点DSP芯片所采用的浮点格式不完全一样:有的DSP芯片采用自定义的浮点格式,如T;而有的D美国电气电子工程师学会)的标准浮MS320C3XSP芯片则采用IEEE(
123软件无线电技术在3G及4G中的应用
当前,蜂窝移动通信系统已经发展到第3代。3G系统进入商业运行,一方面需要解决
w
制功能,具有完全的通用性。专用DSP芯片是为特定的DSP运算而设计的,更适合特殊的运算,如数字滤波、卷积和F快速傅里叶变换)。FT(
w
w
这是根据DSP芯片应用的场合来分类的:应用于通用目的的DSP芯片称为通用DSP芯片,应用于专门目的的DSP芯片称为专用DSP芯片。通用DSP芯片可以完成各种处理和控
.g
ol
点格式,如Mo公司的MC等。torola96002
按应用环境分2
de
n-bo
这是根据DSP芯片工作的数据格式来分类的:数据以定点格式工作的DSP芯片称为定
点DSP芯片,以浮点格式工作的称为浮点DSP芯片。
ok
.c
通用DSP芯片可以按照下列两种方式进行分类:
按数据格式分1
om
(,T)公司和美国模拟器件(,A)公司。T公司是世TexasInstrumentsIAnaloevicesDIgD
界上最大的DSP芯片供应商,其DSP市场份额占全世界份额近50%。
4
中国科技金书网 www.golden-book.com
不同标准的系统间的兼容性;另一方面为了适应技术的飞速发展,3G无线通信系统要求具有高度的灵活性和扩展升级能力。软件无线电技术无疑是最好的解决方案。作为3G移动通信三大主要标准中的两个,WC宽带码分多址接入)和CDMA(DMA2000都采用码分多址接入方式,且具有信道带宽宽、数据速率多样且支持高速率、不同业务采用信道编码不同等共同特性;同时,这两种标准之间在码片速率、信道带宽和信道选择码等方面也存在差异。为了解决这些方面的问题并提高系统容量,在3)技G中将采用的智能天线(SmartAntenna术、多用户检测()技术等,将使得软件无线电技术在3MUDG系统中有着广泛的应用空间。
首先,智能天线技术在我国的T时分同步码分多址接入)的方案中,利用DSCDMA(
数字信号处理技术识别用户信号到达方向,形成天线主波束;引入空分多址()方SDMA式,根据用户信号不同的空间传播方向,提供不同的空间信道;采用数字方法对阵元接收信号进行加权处理,形成多个波束赋形,每一个波瓣对应于一个特别的手机用户(波束也可以动态追踪用户,使主波束对准用户信号方向,在干扰信号方向上,形成天线方向图零陷或功率增益较低,从而达到抑制干扰的目的)。
其次,在欧洲共同体的A先进通信技术与服务计划)FCTS(IRST项目中,软件无线电技术也用到了设计多频/多模可编程手机中。它可以自动检测接收信号,接入不同的网络,而且能满足不同的接续时间要求。软件无线电技术可用不同软件实现不同无线电设备的各种功能,可任意改变信道接入方式或调制方式。利用不同软件即可适应不同标准,构成多模手机和多功能基站,具有高度的灵活性。
除此之外,软件无线电出现了一些新的发展趋势,主要表现在体系结构分层化、软件模块化、结构数学分析化、面向对象化、计算机化、网络化和安全化等方面。
随着3)已经开始研究G技术不断成熟并最终进入市场进行运营,国际电信联盟(ITU制订第4代移动通信标准,并已达成共识:把移动通信系统同其他系统(例如无限局域网
利于减少开发的风险,所以未来的4G技术需要适应不同种类产品的要求。而软件无线电技术则是适应产品多样性的基础,它不仅能减少开发风险,还更易于开发系列产品。此外,它入;同时,由于DSP的使用,也弥补了廉价RF所造成的不足。在实际应用中,RF部分是昂贵而缺乏灵活性的,宽带的R软件无线电)技术可弥补F是非线性的,而通过使用SDR(在网络支持方面,由于4G通信系统选择了基于IP的全分组的方式传送数据流,因此
技术将成为下一代网络的核心协议。而大量链路类型的不同链接可通过SIPv6DR进行互连。同时,动态频谱的分配也有利于在已占用带宽上实现新的服务。
智能天线技术也是4G中的关键,它与SDR技术同样紧密相连。它是在软件无线电基础上提出的天线设计新概念,是数字多波束形成()技术与软件无线电完美结合的产物。DBF
w
w
w
其在灵活性上的不足。
.g
ol
de
还减少了硅芯片的容量,从而降低了运算器件的价格,其开放的结构也允许多方运营的介
n-bo
正交频分复用)、软件无线电、智能天线和I技术。4G的关键技术主要有:OFDM(Pv6在4G众多关键技术之中,软件无线电技术是通向未来4G的桥梁。由于各种技术的交叠有
ok
.c
互兼容。
om
等)结合起来,产生4年之前使数据传输速率达到1,以提供更有效G技术,201000Mbit/s
的多种业务,最终实现商业无线网络、局域网、蓝牙、广播、电视卫星通信的无缝衔接并相
中国科技金书网 www.golden-book.com
5
一方面,软件无线电为智能天线的实现提供了一条有效可行的技术途径;另一方面,智能天线也为软件无线电的发展起到了推动作用。它们相互渗透、相互促进。基于软件无线电的智能天线主要包括单信道智能天线,即通过天线阵感应的射频信号,首先经过前端模拟预处理变换为适合于A/D采样的宽带中频信号。该宽带中频信号经A/D数字化后送到数字下变频器(),对宽带数字中频内某一感兴趣的信号进行数字正交下变频和采样率变换,变换DDC为与信号带宽相适应的低采样率的基带正交()数字信号。这N路II/Q/Q基带数据被同时送到M个数字波束形成器(),分别进行不同指向的波束形成运算,最终获得所需的DBF)模块要么对所形成的这M个波束同时进行解调,要么选M个波束。信息解调(DEMOD
取其中信噪比最大的波束进行解调。前者可以实现同频空分复用;后者则可以实现定向接收,改善输出信噪比。其次多信道智能天线与单信道智能天线相比,只是在A/D数字化后设置多个单信道多波束形成器()。此外还包括多相滤波信道化智能天线,它的最大SCMBF特点是能够实现频域和空域上的全波束形成。
13理想的软件无线电及其限制的解决思路
理想的软件无线电的定义是:软件无线电台是用软件定义波段、调制方式、信号波形的电台,信号波形由数字信号采样产生,用宽带的数/模转换器转换成模拟信号,可能还要由中频上变频到射频。类似地,接收机使用宽带的模/数转换器获得该软件无线电台节点所有波段的信号。接收机用通用处理器上的软件完成信号的提取、下变频和解调。
软件无线电技术的核心思想是将宽带的A/D转换器尽可能地靠近射频天线,即尽可能早地将接收到的模拟信号转化为数字信号,最大程度地通过DSP软件来实现通信系统的各种功能。
限制理想软件无线电实现的主要瓶颈有3个:
)天线带宽:2,覆盖多个1倍频程,目前无法实现;1~2000MHz0
)总线数据速率要大于5根据N定律,f);2Gbit/s(uist>25yqfsH)数字处理部分的处理能力。3
理想软件无线电技术的模拟(虚拟无线电),虽然不能立即投入实用,但为将来的软件无线
万条浮点指令;基于双总线结构———V易通话”第2阶段200ME总线和高速数据总线。“
;处理器为奔腾膝上机,(1995~1997年)MBMMR主要参数:工作频率为4~400MHz操作系统;基于P总线和IWindows95CISA总线结构。
w
的效果。“易通话”第1阶段(1991~1994年)MBMMR主要参数:工作频率为4~
;处理器为T公司的T,处理速度为每秒1400MHzIMS320C40浮点DSP0亿条整型指令,
w
w
世纪9年代初期,美国军方开始的“易通话”()系统是国际上软件无线200Seakeaspy
电技术成功实现的典型代表。此系统大部分功能均由软件实现,具有可编程性,取得了很好
.g
ol
电技术研究提供理论指导,比如MI。T的SectrumWarep
系统1Seakeaspy
de
n-bo
A/D与天线之间增加模拟环节、应用并行处理技术、改进算法等,使软件无线电技术应用
到实际中,比如S、J、3eakeasTRSG等系统;另一个思路是通过多个PC或工作站实现对py
ok
软件无线电技术的研究沿着两个思路进行:一个思路是在现有的硬件水平上,通过将
.c
om
6
中国科技金书网 www.golden-book.com
2MIT的SectrumWarep
美国麻省理工学院()的计算机科学实验室的S项目从通用计算机体MITectrumWarep
系结构实现软件无线电的角度出发,引入了更多的软件成分,提出并试图实现“虚拟”无线电。S并不是用软件无线电来实现一个实用的系统,而是构造一个实验平台,ectrumWarep针对软件无线电中的一些问题,研究解决的方法,并实现一些简单的实验系统以验证。
他们的一些思路相对于目前的技术水平是超前的,短时间内难以实用化。从另一个角度来看,他们的方法可能更接近于理想的软件无线电,具有深远的意义。通用机在许多方面与DSP系统不同,最重要的区别在于它不是一个实时的同步系统,不像DSP适合于对一序列的有严格定时的采样信号作实时处理,只能通过中断来保持一定的同步;然而在可编程性、计算机体系结构的开放性和灵活性、并行处理以及人机界面等方面,却都大大超过DSP系统,目前也开始将D类似于引入浮点协处理)。因SP的一些功能和特点融于通用CPU中(此,从通用机(或通用机与DSP相结合)实现软件无线电的角度研究软件无线电是很有意义的。
主要研究了以下问题:SectrumWarep
()时间解耦()1TemorallecoulinpyDpg
对采样序列做逐样点运算,并保持严格同步
是很困难的,特别是当采样率很高时。而且,这种处理方法对于通用机来说效率是很低的。采用的时间解耦方法,将采样数据粒化,即利用大容量的多级高速缓存将数SectrumWarep
据分块(每块可能包含多达几百千字节的数据),然后对分块数据做集中处理,只在输入、输出两端保持同步,其中的关键是运算能力的动态调整分配和大容量缓存的使用。在软件无线电中,接收信号的采样率虽然很高(常大于几十MS),但实际的语音或数据信号速率PS一般不超过几十K,加上对处理延迟的要求不是非常严格,所以在整个处理过程中都保bit/s持同步是不必要的,利用缓存保持输入与输出的大致同步也就可以了,而且数据成块处理的效率高,还可充分利用信号的统计特性。
()并行处理虽然某些D如T公司的T、I公司的T2SP(IMS320C40NMOSransuterp系列)具有一定的并行处理能力,但相对于通用机结构还是很弱的,特别是在并行处理软件
的局限性,适用条件也较严格。首先,宽带天线/、高速A/RFD及D/A转换和多个高速的
如单用户单模式的电台)在目前DSP/CPU使得软件无线电系统的造价过高,简单的应用(是不值得的,实际能完成的复杂应用很大程度上受当前硬件水平的限制,实际处理带宽不超过几十兆赫。
w
w
实现的简单系统,代价却很高,但这方面的研究是非常必要的。软件无线电的实现还有很大
w
()S的信号处理是在工作站上实现的,几个实现的实验系统带宽都不4ectrumWarep
高,实现的功能也较简单。一般认为由通用机结构实现软件无线电在目前还很困难,即使是
.g
ol
率的编码调制方案。
de
处,另一方面也使软件无线电更容易实现。他们在讨论A/D转换器时,特别提到目前低分辨率的ADC采样率非常高,且提高的趋势明显,认为应考虑研究适合于低分辨率和高采样
n-bo
()对编码调制方法的研究分为3部分传统方法的实现;传统方法中新的适合于软件3
无线电实现的算法研究;新的编码调制方法的研究。一方面充分利用软件无线电带来的好
ok
上,且大部分DSP不具备这一能力。根据现在的硬件工艺水平,同时考虑到通信信号处理的特点,利用并行计算机提高处理能力是非常必要的。
.c
om
中国科技金书网 www.golden-book.com
第2章
21体系结构简介
软件无线电的体系结构
软件无线电体系结构的定义为:功能、组件及设计规则的一个综合的、统一的集合(其三要素为功能、组件及设计规则)。由于包括了功能以及设计规则,所以体系结构支持部件的重用,甚至可以跨越实现硬件及软件之间的移植。软件无线电和传统无线电在体系结构方面具有显著的不同:传统无线电的设计,是基于用硬件搭建的模块来实现通信功能的硬件体系结构;软件无线电则是采用基于硬件平台和软件分层的软件无线电结构,它是通过管理与分配软件和硬件资源来实现通信功能的全新体系结构。
软件无线电关键技术包括:射频天线设计、模数()转换器设计、射频()前A/DRF端设计、数据管理程序、资源分配等。其具体表现为:
)与传统的无线电相比,软件无线电技术中的智能天线技术成为新的技术亮点。软件1
无线电系统中采用了智能天线技术,用数字的方法实现波束成形和波达方向估计,这使得软件无线电的天线系统比传统无线电的天线系统有了无可比拟的优势。在软件方面,主要通过自适应算法修改权重系数,达到适应空间和时间参数变化的目的。由于采用智能天线技术,软件无线电系统才真正达到了设计的初衷,不用改动任何硬件,只改变软件算法就可以适应不同的需求。
)对于移动性要求很高的系统,由于体积和功率的限制,射频部分和A2DC的设计是整个设计的关键部分。目前的硬件水平还很难满足理想软件无线电模型的射频直接采样技术的要求,因此射频前端的模拟低噪声放大器、模拟下变频等都还需要保留,这给软件无线电在,有望实现射频数字化。ADC中,其射频频率可达10~100GHz
)软件无线电可以被看成一个统一的有机整体,需要各个模块的紧密配合才能完成高3速率的数据处理,因此高水平的数据管理程序是必不可少的。资源分配还与数据流特性有关,必须以最小时延和开销对数据进行处理。
通信系统中的各种软件无线电的关键技术,同时还注意到软件无线电技术与计算机技术的融合,为第三代移动通信系统提供友好的用户界面,使软件无线电技术在第三代移动通信系统中发挥关键作用,如麻省理工学院的S计划。ectrumWarep
w
软件无线电技术在第三代移动通信系统中得到了广泛的应用,美国正在研究第三代移动
w
要的研究,目前世界各国都已经有了新的进展。
w
无线电系统能够真正达到理想软件无线电系统的通用性,必须对软件无线电体系结构进行必
.g
多实际设备只能适用于某些特定系统。因此对软件无线电的体系结构需求非常大,要使软件
ol
的结构级分析是必要的。然而当前,众多提出的软件无线电结构都缺乏通用的设计方法,许
de
软件无线电技术复杂,功能强大,要成功地将软件无线电技术应用于实际中,进行必要
n-bo
ok
.c
移动终端中的应用带来了很大的技术挑战。最近有些研究机构将超导模数转换技术用于
om
8
中国科技金书网 www.golden-book.com
我国对软件无线电技术也相当重视,例如我国提出了第三代移动通信系统方案时分-同步码分多址接入(,T)系TimeDivisionSnchronousCodeDivisionMultileAccessDSCMDAyp统。T码分多址)技术,它结合了智能天线、软DSCDMA是一种同步的直接扩频CDMA(件无线电及全质量语音压缩编码技术等2世纪9年代以来的通信新技术。00
22体系结构研究
分层无线电结构1
当前,众多提出的软件无线电结构都缺乏通用的设计方法,许多实际设备只能适用于某些特定系统。弗吉尼亚工学院的S提出了一种标准化结构:分层rikathaaniSrikanteswarayy
无线电结构。分层无线电按照功能可以划分为三层,分别为:
)软件无线电接口()层:负责整个系统和外界的结口,将外界的数据进行打包,1SRI
加入调度信息,按优先级排队,并将数据送入到下一层。
)配置层:从S层发送的编程数据包中提取配置比特信息。2RI
)处理层:处理层负责数据流处理,对配置层已经提取的数据进行实际的功能处理,3
实现软件无线电的实际功能。
各层都由独立的用户程序处理数据,层与层之间通过接口交换数据,整个分层无线电基于流式数据处理。
节点体系结构2
在软件无线电节点体系结构中,每个分段都是一个对象,分段的状态就是对象存放的位置,各分段的变换是对象的行为。当用软件进行仿真或实现时,每一种行为对应一种方法;在用硬件实现时,一个行为仿真硬件的一种特性。
射频变换段的功率管理和LNA元件共用天线,同时射频变换元件共用射频标准,射频元件也共同承担类似天线的责任。LNA被置于接近天线处,以便于调整系统的灵敏度。功放被置于接近天线处,以便有效地给天线输送功率。
基础。基带端完成调制解调功能,实现信道编码和信源编码之间的信息转换。这种聚合功能是将基带确定为一个分段的基础。软判决解码延迟了将信道符号变为基带比特的最终变换时
基于总线方式的软件无线电体系结构3
基于总线的软件无线电体系结构是一种具有分布式存储器结构的并行处理系统。在这种体系结构中,通用的、模块化的无线电功能模块通过总线连接,并以时分复用的方式利用共享总线进行模块间的信息传递。每个模块除了具有处理单元(由通用C、可编程DPUSP芯
w
w
换为比特流,可以在本地进行,也可以在远端进行。
w
信源段包括用户语音信号、音频信息的本地源方和收信方。信源编码就是把通信信号转
.g
作和前向纠错。由于控制信息被包含在比特流段,因此用户控制接口放在比特流段。
ol
比特流段完成比特流操作,包括复用/解复用、交织/解交织、组帧、位填充、协议栈操
de
间,因此用基带段比用比特流段有更大的聚合度。
n-bo
模数转换器可以位于中频到射频或者中频到基带的接口,它是这些分段之间的数据连接
ok
的中频元件共用频率标准,在单工和跳频电台中,发射机和接收机的中频也是紧密耦合的。
.c
中频部分可能被置于远离射频变换的地方,中频处理是独立的一部分。超外差收发信机
om
中国科技金书网 www.golden-book.com
9
片和FPGA等实现)和存储单元外,还具有总线接口单元,用于完成与标准总线的连接。基于总线的软件无线电硬件平台具有如下优点:
)模块化程度高,系统灵活,可以根据不同的要求由公共的功能模块集合成不同系统。1
)开放性。由于采用了标准的总线接口,可直接采用不同厂家生产的符合总线标准的2
通用处理模块,从而大大缩短开发周期,降低投资与风险。
)灵活。可以连接由不同处理器实现的、具有不同处理器能力与特点的通用处理模块。3
)系统升级方便。每个通用处理模块均是通过标准接口连在总线上的,任一模块的升4
级与系统其他部分独立。
)容易实现功能扩展。在系统设计中只要有足够的总线接口,则将来系统扩展只需要5
加入相应的功能模块,对系统其他部分影响小。
同时,也应注意到基于总线的软件无线电硬件平台也具其内在的局限性,主要体现在如下几个方面:
)多个功能模块以时分复用的方式通过公共系统总线完成信号传输,这对系统总线的1
性能提出了很大的挑战,总线成为系统功能扩展的瓶颈,因此,总线必须具有高速率,能提供复杂控制,便于功能扩展(集成未来更高性能的处理器)。
)总线的负载能力有限。以标准的P总线为例,单一的总线最多只能承载8个功能2CI
模块。在大型软件无线电系统中必须采用更复杂的控制机制,如采用分级总线方式或多总线等。基于总线结构的软件无线电平台无疑以其内在的开放性、灵活性和可扩展能力显示出很大吸引力,而其内在的局限性促使人们去探讨解决总线瓶颈的可行方案。表2所示为三种1体系结构的比较。
表21三种体系结构的比较
参数名称
延时
流式结构
结构名称
总线式结构交换式结构
短最长长
带宽最宽窄宽
复杂度简单复杂最复杂
伸缩性差好
通用性
)流式结构虽然复杂度最低,便于实现,但是不利于采用硬件模块化设计,可扩展性、1
通用性差。
)网络式结构可扩展性、通用性好,但是硬件复杂度太高,不利于实现,采用这一体2
系结构目前条件尚不成熟。
)总线式结构的硬件复杂度介于上述两种体系机构之间,除带宽外,各项性能均比较3优良。
年5月,J提出了一种评价软件无线电系统的软件程度的思路———用一1997oseh.Mitolap个矢量(,P,H,S)来评价软件无线电的“软件”程度,其中,N(NDAMFANumberofchan
w
矢量”23评价软件无线电系统的软件程度的“
w
w
.g
ol
de
n-bo
通过对三种体系结构的分析比较,总线式结构目前更有优势,原因是:
ok
最好
.c
om
差
好
最好
10
中国科技金书网
www.golden-book.com
)为空中接口(模式和波形)可支持的频道数,P)为可编nalDA(ProrammableDiitalAccessgg程数字化访问,H)为硬件模块化程度,SM(HardwareModularitFA(SoftwareFlexibilitndyya
)为软件灵活性与可负担性范围。表2给出了四个参数的取值原则。Affordabilit2y
表22参数值定义
N
0
单一频道双频道多频道(个),>0~300中频可编程(,2,>,>)———“易通话”(,2,3,1)0~3001~3/2/2)射频可编程(,3,1,3)———理想软件无线电电台(,3,3,33~33
)实例研究24可编程数字电台(PDR
美国H公司的A1ARRISN/PRC117F
频段范围内,它实现包括了登陆、海上、空中及卫AN/PRC117F工作在30~512MHz
星通信的4种波段、9种波形的通信,具有S与HincarsaveuickgqⅠ/Ⅱ之间的互操作能力和
脑)、使用“数据注入枪”、使用无线克隆技术等方法,实现电台基本设置(频率、数据调制
军用软件无线电技术的开拓者S3eakeaspyⅠ/Ⅱ
易话通第1阶段)作为军用软件无线电技术的最早产品,其相关参数为:SeakeaspyⅠ(
)工作频率为4;~400MHz1
w
)多模式:可实现H、S3aveQuickATURNTANAG4444等波形ALE和Ⅰ/Ⅱ、S()、电子保护措施(MILSTD188141EPM)等功能。
w
w
)多用途:既可以实现传统的通信方式(,也可实现声码话传输2AM、FM、SSB等)(的连续可变斜率增量调制、1的高级多频段激励),还可以进行数16kbit/s2/24/48kbit/s据传输(、V;V/:6)。HFHFFM:54kbit/sUHF4kbit/s
.g
ol
de
M3TR是高质量软件无线电电台,它的特点可以归纳为多频段、多用途和多模式。
)多频段:电台可覆盖1不同的频率范围。16~512MHz
n-bo
解调模式、加密密钥和所需功率等级)的改变。德国R公司M32STR
ok
.c
定位能力。该电台相当于传统的多个电台,可以实现数话同传,在数据传输时最高数GPS
据速率可达1,还可以进行远程遥控。该系列电台可以通过连接到P个人电152kbit/sC(
om
中国科技金书网 www.golden-book.com
11
)处理器为T,每秒十亿条整型指令,二百万条浮点指令;2MS320C40DSP
)用户接口带X的s工作站;3windowsarcp)同时处理四个通道,兼容多种波形,兼容1种美军现有电台;45)编程语言使用A、汇编,有再编程能力;5DA)基于双总线结构———V6ME总线和高速数据总线;)功耗为3,1);7300W(~200V5A
)体积为6,重量3磅;85ft×25ft×25ft00)MT,平均无故障时间)为2小时。9BF(MeanTimeBetweenFailures0SeakeaseakeaspypyⅡ对SⅠ进行了改进,其主要参数为:)工作频率为4;1~400MHz)奔腾膝上机,Wi操作系统;2n95)总线结构(总线和I;3PCISA总线))功耗为4,1);420W(~28V5A)体积为1,重量8磅;51ft×17ft×22ft5
)MT平均无故障时间)为5小时。6FB(00
易通话计划在全球掀起了一股软件无线电研究热,但是在推行过程中遇到了难以预料的说:“易通话第2阶段(问题。JSeakeashaseosehMitolapyPpⅡ)计划了两大模式,但在头
两年仅成功实现了6个模式加上G。因为系统和软件工程、设计、开发及集成的固有困PS难,这个计划在开发成本上遭到多于2的增长。”1年,美国国防部启动了“可编程模∶1997块化通信系统”(,P)计划,该计划建议ProrammableModuleCommunicationSstemMCSgy把2多个名称的无线电家庭统一在三个美军行政机关联合管理下的单一方案J00TRS(Joint
,联合战术无线电系统)中。原定的易通话第3阶段(TacticsRadioSstemSeakeashaseypyP而未能继续。TRSⅢ)由于归入J
参考文献
[]1[]2[]3[]4[]5[]6[]7[]8[]9
软件无线电[北京:人民邮电出版社,2JeffreReedM]004yH
钮心忻,杨义先软件无线电技术与应用[北京:北京邮电大学出版社,2M]000
软件无线电体系结构———应用于无线系统工程中的面向对象的方法[北京:JosehMitolaM]pⅢ,Z;Mi,J],IZvonartolaSDRandwirelessinfrastructure[JCommunicationsMaazineEEEVolumeg,I,J:141ssue1an200304-104
]PeterGCookandWaneBonserArchitecturalOverviewoftheSPEAKeasstem[JIEEEJournalyySy,v,n4,A,1:6onSelectedAreasinCommunications17r99950-661p
杨小牛,楼才义,徐建良软件无线电原理与应用[北京:电子工业出版社,2M]002
]JosehMitolaⅢSDRarchitecturerefinementforJTRS[JIEEEInternationalWorkshonMobileppo,1):3MultimediaCommunications99915-17Nov1999Pae(s-10g
—OJosehMitolaSoftwareRadioArchitecturebectorientedAroachestoWirelessSstemsEnineerpjppygⅢ北京:清华大学出版社,2inM]001g[
机械工业出版社,2003
w
w
w
.g
ol
de
n-bo
软件无线电技术与实现[北京:电子工业出版社,2WalterTuttlebeeM]004
ok
.c
om
12
[]10
[]11
[]12中国科技金书网 www.golden-book.comJosehMitolaⅢConitiveRadioAnInteratedAentArchitectureforSoftwareDefinedRadio[D]pggg,2KTH000]:HarrisCororationAN/PRC-117FMultibandandMulitmissonradio[ZUniteStatesofAmericap,1HarrisCororation999p]G:RrohdeSchwarzCororationM3TRuniversalsoftwareradioforthediitalbattlefield[Zermanpgy
RrohdeSchwarzCororation1999p
www.golden-book.com
软件无线电技术设计与实践
通信信号处理及传输实验指导书
电子科技大学通信学院
李玉柏 崔琳莉 武畅 杨炼
目 录
第一部分 通信信号处理及传输实验总体介绍 ....................................... 1第二部分 实验设备介绍 ........................................................................... 3第三部分 通信信号处理及传输实验 ..................................................... 11实验一 软件无线电实验平台基本通信实验 ............................ 11 实验二 脉冲成型实验 . ................................................................ 22 实验三 数字上下变频 . ................................................................ 31 实验四 基带载波调制技术实验 ................................................. 42 实验五 基带载波解调技术实验 ................................................. 50 实验六 无线信道性能测试实验 ................................................. 73 实验七 无线收发综合实验 . ........................................................ 90 实验八 小型软件无线电系统 SSF-SDR 综合实验 ................... 100 附录 A 信号发生器使用说明 .............................................................. 119附录 B 射频 GUI 使用说明 ................................................................137
第一部分 通信信号处理及传输实验总体介绍
一、通信信号处理及传输实验的任务
通过本课程的实验, 要求学生能够基于可编程的、 可设计的、 模块化的软件无线 电硬件平台,通过 FPGA 设计、 DSP 程序设计来完成各项通信功能,如脉冲成型、 上下变频、调制解调等等;深入理解通信系统中各组成模块的实现原理,融汇贯通 学生在通信专业的主要知识体系;同时通过本课程实验,让学生了解通信系统中的 关键技术,了解实际硬件系统与理论的联系与区别,切实增强学生理论联系实际的 能力。
二、通信信号处理及传输实验简介
通信信号处理及传输实验包含 7个基础型、综合型实验项目以及 1个创新设计 型实验项目。 单套实验设备包括 PC 机、 软件无线电实验箱、 基带信号发生器、 DSP 仿真器、 FPGA 仿真器等硬件平台,以及 CCS 、 ISE 等软件仿真平台。同时需要信 号发生器、示波器等测试仪器。
三、信号与系统课程适用的专业
通信、电子信息类等专业。
四、通信信号处理及传输实验涉及的核心知识点
实验内容涉及通信信源编解码、信号成型滤波与均衡、数字载波调制和解调、 无线信道中通信性能分析、信道编码算法、无线收发、信道噪声特性分析、点对点 通信、 多径干扰分析等。 同时实验涉及基于 DSP 的分析设计方法和基于 FPGA 的分 析设计方法。
五、信号与系统实验的重点与难点
对软件无线电通信中各重点模块的理解和设计,如波束形成、数字滤波器、上 /下变频、软件无线电中的数字载波调制、软件无线电中的信号处理算法、无线信道 中通信性能分析、软件无线电中的信道编码算法、射频及模拟前端等。
六、考核方式
实验报告。
七、总学时
40学时。
八、教材名称及教材性质
陈祝明, 《软件无线电技术基础》 ,高等教育出版社
九、参考资料
杨小牛,楼才义等。软件无线电原理与应用,电子工业出版社, 2001年 1月
第二部分 实验设备介绍
通信信号处理实验是基于可编程的、可设计的、模块化软件无线电硬件平台, 通过 FPGA 设计、 DSP 程序设计来完成各项通信功能。实验设备包括 PC 机、软件 无线电实验箱、 基带信号发生器、 DSP 仿真器、 FPGA 仿真器等硬件平台, 以及 CCS 、 ISE 等软件仿真平台。同时需要信号发生器、示波器等测试仪器。其中软件无线电 试验箱是整个实验的基本平台。
2.1 软件无线电实验箱
软件无线电试验箱主要着重软件无线电系统若干关键技术的演示和开发。主要 包括内容有波束形成、数字滤波器、上 /下变频、软件无线电中的数字载波调制、软 件无线电中的信号处理算法、无线信道中通信性能分析、软件无线电中的信道编码 算法、射频及模拟前端等。实验系统平台如图 2.1所示。
图 2.1 软件无线电实验平台
系统特点:
软件无线电实验平台的最大特点是实现了全数字系统。系统的架构合理,结构 简洁,整体上采用 ARM+DSP+FPGA+RF的构架,可以实现任何典型的无线通信方 式。
同时实验平台配有功能强大的标准基带信号发生器,用来产生各种调制信号, 模拟各种无线信道对信号的影响,使学生可以直观地体验到无线信道对不同调制方 式的影响。
实验平台硬件是开放的, 可以进行二次开发和创新开发。 可以对平台中的 ARM 、 DSP 、 FPGA 进行编程形成新的应用和研究系统。
系统结构 :
软件无线电实验平台的系统结构如图 2.2和图 2.3所示。
图 2.2 软件无线电实验平台的系统结构
图 2.3 软件无线电实验平台的系统框架结构
硬件平台:
射频模块
OURS-SDR0701-BP 射频子系统采用流行的直接变频技术, 形成零中、 低中频通
信系统。零中频和低中频通信系统是现在主流的数字通信和移动通信的射频架构。
●滤波器模块
●AD/DA模块
●FPGA 模块
系统支持不同型号和容量的 FPGA ,适合多种不同应用。
●DSP 模块
该系统支持不同的 DSP 。
通信信号处理及传输实验指导
●ARM 模块
作为高级的通信系统,高性能多功能的主控处理器是不可缺少的。
2.2 基带信号产生器及软件
基带信号发生器是一款基于包传输格式的信号发生器。它的主要特点是:能够 产生 FSK 、 MPSK 、 BPSK 、 DBPSK 、 MSK 、 GMSK 等多种调制方式的基带发送信 号;并能够模拟多种具体实现产生的系统影响和实际信道影响模型,来帮助构建真 实的无线通信网络环境。基带信号发生器将产生的信号发送给接收机,用来验证接
●无线基带信号发生器硬件:
图 2.4 基带信号发生器 ●无线基带信号发生器软件:
图 2.5 基带信号发生器软件 2.3 实验系统的安装
●软件无线电实验平台的安装和连接
图 2.6 实验平台连接示意图 ① 插入电源;
② 打开实验平台电源开关;
③ 安装好射频天线
●基带信号发生器的安装和连接
图 2.7 基带信号发生器连接示意图 ① 插入电源并打开基带信号发生器电源开关; ② 插入以太网线;
③ 连接数据线。
●实验平台与基带信号发生器的连接
通信信号处理及传输实验指导
图 2.8 实验平台与基带信号发生器的连接示意图
将信号发生器的信号输出端口分别与软件无线电实验平台的 R XI/RXQ 连接。 实验平台与下载器的连接
图 2.9 实验平台与下载器连接示意图
将 FPGA 下载器与软件无线电实验平台的 J TAG 端口中的 F PGA 端口连接。 类似, 可将 DSP 仿真器与实验平台的 JTAG 端口中的 DSP 端口连接。
注意事项
1. 注意阅读用户使用手册,尤其注意避免不必要的热插拔。使用者要熟悉与软件无线电 实 验平台配套的用户手册, 严格按操作规范使用。 比如严禁在实验平台通电时, 插拨实验平台
机的 并行 /串行 /USB 数 据接口线,否则会造成实验平台主板被烧毁。
2. 规范的配件,在选购射频天线时,除了看质量外,一定要注意天线的工作频段;在选 购 信号发生器与电脑连接的网线时,一定要注意必须选用双绞线。
3. 保持良好的卫生习惯,定期清洁。这样可以避免灰尘的沉积。
第三部分 通信信号处理及传输实验
实验一 软件无线电实验平台基本通信实验
一、 实验名称:软件无线电实验平台基本通信实验
二、 实验目的:
1. 了解软件无线电实验平台基本模块:ARM 模块、 FPGA 模块、 DSP 模块、 BASEBAND AD\DA模块及 RF 模块的功能及其工作原理;
2. 熟悉 CCS 、 ISE 软件平台;能够熟练地对 DSP 及 FPGA 程序进行调试;
3. 掌握 TMS320C6713的 HPI 接口;通过发送 HPI 中断给 ARM ,熟悉 ARM 与 DSP 的通信方式;
4. 掌握 AD9201, AD9761的工作原理以及工作时序图;编写 FPGA 控制 AD 、 DA 的 VHDL 程序;
三、 实验原理:
1. 软件无线电实验平台组成及工作原理
高级软件无线电综合实验系统平台由 6个模块组成 , 分别如下:ARM 模块、 FPGA 模块、 MCU 模块、 DSP 模块、 BASEBAND AD\DA模块、 RF 模块。
各模块功能如下:
ARM (S3C2410)模块其上的操作系统为 WINCE5.0,提供用户交互界面。应 用程序与 FPGA 的交互通过 SPI 接口驱动实现,应用程序与 DSP (C6713)的交互 通过 HPI 接口驱动实现;
DSP (C6713) 模块主要实现基带信号的调制与解调。 其与 ARM 的接口为 HPI ; 与 FPGA 的接口是 MCBSP ;
FPGA (XC3S400)主要实现外部模拟信号的 AD 采样,以及数字与模拟转换的 DA 控制,与 RF 模块联合实现 CC2420的功能。其与 DSP 的接口为 MCBSP ,与
MCU (C8051F120)是通过 SPI 以及 MCU 的 P1、 P3口实现交互;
MCU 模块主要实现对 RF 发射功率,发射、接收信道等一些工作参数配置。其 与 FPGA 的交互是通过 SPI 以及 P1、 P3口实现的;
BASE BAND AD\DA模块主要实现数模转换的功能;
RF 模块主要实现将基带信号转化为射频信号, 然后发射出去。 其与 FPGA 的通 信是通过 RF 自定义接口实现的。
各模块间的通信以及接口示意如图 1所示:
图 1 软件无线电实验平台模块结构
2. DSP 与 ARM 通信原理
DSP 与 ARM 通过 HPI 接口协同工作, 实现通信。 ARM 主要提供用户交互的界 面,用户可以在程序界面中输入传输的数据, ARM 将用户输入的数据通过 HPI 口 发送给 DSP , 并且通知 DSP 开始工作。 DSP 在接收到 ARM 发送的开始工作命令后, 从固定的地址获取 ARM 传送的数据长度以及数据存放地址,然后到相应地址读取 数据,将读取到的数据进行搬移,搬移完毕后发送 HINT 中断给 ARM 通知 DSP 数 据操作已经完成。 ARM 接收到 DSP 发送的 HINT 中断后从 DSP 相应位置读取 DSP 搬移后的数据,重新在应用程序界面中显示。工作原理简易框图如图 2所示:
DSP
ARM
图 2 DSP 和 ARM 工作原理框图
HPI 接口简介
HPI 口是并行接口, HOST 可以通过 HPI 口直接访问 DSP 的存储空间。 HOST 作为 HPI 接口的主控,访问非常便捷。
HOST 与 DSP 可以通过内部或者外部存储器交换信息。并且 HOST 端可以直接 访问内存映射的外设。 HPI 与 DSP 的 CPU 连接是通过 DMA 或者 EDMA 实现的。
HOST 以及 DSP 都可以访问 HPI 控制寄存器 HPIC 。通过外部数据以及接口控制信 号 HOST 端可以访问 HPI 地址寄存器 HPIA , HPI 数据寄存器 HPID 。 C64XX 系列 DSP 端 CPU 也可以访问 HPIA 。
TMS320C6713的 HPI 硬件接口如图 3 所示:
图 3 DSP HPI 接口示意图
其时序图如图 4:
图 4 HPI 时序图
DSP 程序示例
DSP 与 ARM 通信完成如下内容:
1. 在数据输入界面中输入数据按 ENTER 后, ARM 将用户输入的数据长度一通过 HPI 口写在 DSP 的 SDRAM 的 0X20008地址中;把用户输入数据所存储的地址 放在 0x10000的地址单元中;把数据写在 0X20004(存储在 0X1000)开始的位 置。置位 FLAG=1通知 DSP 用户已经输入数据。
2. DSP 在检测到 0x20000存储的数据为 1后,首先从 0X20008获取用户输入的数 据长度; 然后从 0X1000获得用户输入的数据存储在何位置。 在得到位置与长度 信息后, DSP 程序将数据拷贝到 0x80000000为开始的位置。完成数据搬移后, DSP 发送 HINT 中断,通知 ARM ,数据处理已经完成。
如上功能的 DSP 示例程序如下:
while(1)
{
flag=*((int *)(0x20000));
if(flag==1)
{
temp=(*((int *)0x20004));
pData=(int *)temp;
iCountData=*((int *)0x20008);
pMovedData=(int*)0x80000000;
通信信号处理及传输实验指导
(*pMovedData++)=iCountData;
for (i=1;i<>
{
(*pMovedData++)=(*pData++);
}
HPI_setHint(1);
}
}
3. FPGA 控制 AD 、 DA 实验
本实验根据数模转换器芯片 AD9201, AD9761的工作时序,首先对输入的模拟 信号用进行采样,然后将采样值直接通过 DA 发送出去。
AD9201简介
AD9201最高采样率为 20MSPS ,分辨率为 10bit ,是双通道 CMOS 电平的模数 转换器。 在需要 2路 ADC 匹配 (例如通信中的 I 、 Q 两路信号) 的应用中, AD9201性能优越。 20MHz 的采样率、输入带宽大,使 AD9201能应用在扩频与窄带信道。 AD9201时序图
图 5 AD9201时序图
注:SELECT 为 AD9201内部的异步二选一管脚。在 CLOCK 的上升沿,对输 入的两路信号进行同步采样,然后经过 SELECT 选择输出数据的通路。
通信信号处理及传输实验指导
AD9761简介
AD9761是采样率 20M 、 10 bit高速双通道数模转换器。宽带通信中经常需要处 理同相和正交(I 、 Q )两路数据, AD9761的双通道可提供两路信号输出。
AD9761时序图
图 6 AD9761时序图
注:SELECT 异步选择输入,当为高时将 DA 的数据锁存到 I 路,当为低时锁 存到 Q 路。
FPGA 控制 AD 、 DA 程序示例
由于外部晶振频率为 48MHz ,而 AD 采样率最高 20MHz , DA 速率为 20MHz , 因此程序中首先利用 DCM 对晶振输出的频率进行 3分频,即 16MHz , DCM 输出 的频率作为 AD9201以及 AD9761的时钟。关键程序代码段如下:
AD 控制部分代码
signal iq_sel_int : std_logic;
signal temp_q : std_logic_vector(9 downto 0);
begin
adc_cs <=>=>
adc_clk <=>=>
adc_select <= not="">=>
process(rst,ext_adclk)
begin
if rst = '0' then
temp_q <= (others=""> '0');
adc_i <= (others=""> '0');
adc_q <= (others=""> '0');
iq_sel_int <=>=>
elsif ext_adclk'event and ext_adclk = '1' then
iq_sel_int <= not="">=>
if iq_sel_int = '0' then
temp_q <=>=>
else
adc_i <=>=>
adc_q <=>=>
end if;
end if;
end process;
DA 控制代码
signal da_select_int:std_logic;
begin
da_rst<=not>=not>
da_clk<=not>=not>
da_write<=not>=not>
da_select<>
process(ext_daclk)
variable cnt:integer range 0 to 1;
begin
if rising_edge(ext_daclk)then
if rst='0' then
da_select_int<>
else
da_select_int<=not da_select_int;="" if="" da_select_int='0'>=not>
da_out<>
else
da_out<>
end if;
end if;
end if;
end process;
四、 实验内容
(1) DSP 与 ARM 的通信实验;
(2) FPGA 控制 AD 、 DA 实验。
五、 实验步骤
1. DSP 与 ARM 的通信实现
(1) DSP 与 ARM 的通信演示实验
A. 运行 ARM 端程序 RADIO.EXE ,选择扩展实验的 DSP 和 ARM 通信实验, 按下 “ 确认 ” 进入该实验界面;
B. 连接好 DSP 仿真器;
C. 打开 CCS ,打开工程文件 ARM_DSP_hpi.pjt。
D. 选 择 Project->Rebuild All , 编 译 完 成 后 选 择 File->LoadProgram找 到 ARM_DSP_hpi.out选择打开,通过 JTAG 下载 DSP 程序;
E. 运行 DSP 程序 Debug->Run;
F. 在界面数据输入框内输入 0-20个数据,按 Enter ,此时在 ARM 端接收界面 会显示经过 DSP 处理的数据;
输入数据:12345678987654321000
显示数据:12345678987654321000
注:DSP 端程序在输出 BUFFER 的数据格式必须为第一个 INT 为数据长度,并 且数据长度不能大于 40(否则数据在 ARM 端不能全部显示) 。
(2) DSP 与 ARM 的通信实验实现
A. 打开主程序文件 main.c ;
B. 修 改 原 程 序 中 (*p MovedData++) = (*pData++);为 (*pMovedData++) = (*pData++) +1;
C. 在 CCS 中编译运行程序,重新在数据输入界面输入数据按 ENTER ;
D. 在 ARM 端应用程序界面的右边数据显示栏观察结果,记录实验现象(左边 数据加 1) :
输入数据:12345678987654321000
显示数据:23456789A98765432111
(3) DSP 与 ARM 的通信扩展实验
通过修改 SETHINT 在程序中的位置来修改 DSP 端何时发送 HPI 中断给 HOST 端。
内容:实现 10000个循环延时后发送中断。
修改代码如下:
for(j=0;j<>
{
}
HPI_setHint(1); /*send hint interrupt to host to request to service */
修改完成后在 CCS 中编译运行程序, 在 ARM 应用程序界面中重新输入数据 按 ENTER 。观察:ARM 应用程序右边数据接收窗口,记录观察结果(数据更 新速率应当降低) :
输入数据:12345678987654321000
显示数据:23456789A98765432111
2. FPGA 控制 AD 、 DA 实验
(1) FPGA 控制 AD 、 DA 过程演示实验
A. 编译 AD_DA_LOOP工程,编译完后将 bit 文件下载到 FPGA 中;
B. 连接信号发生器到平台的 RXI , 对信号发生器进行设置产生 500KHZ 的正弦 信号,然后发送;
C. 在 ARM 端应用程序界面进入 ->扩展实验 ->FPGA扩展实验 ->FPGA控制 AD/DA实验,按 ENTER 然后用示波器观测平台的 TXI 、 TXQ 发出的波形。 (2)改变 DA 控制程序,使 DA 的 I 路输出单频正弦波。
variable cnt:integer range 0 to 1;
begin
if rising_edge(ext_daclk)then
if rst='0' then
da_select_int<>
else
da_select_int<=not>=not>
if da_select_int='0'then
da_out<>
else
da_out<>
end if;
end if;
end if;
end process;
end Behavioral;
六、 分析和思考:
简述 DSP 的 McBSP 工作原理。
七、 实验器材(设备、元器件) :
计算机、软件无线电实验箱、信号发生器、示波器、 DSP 仿真器、 FPGA 仿真 器、+5V 电源
八、 所需主要元器件及耗材 连接线、计算机串口连接线 九、 学时数:4
实验二 脉冲成型实验
一、 实验名称:脉冲成型实验
二、 实验目的:
1. 理解脉冲成形工作原理,加深对奈奎斯特准则的理解。
2. 研究几种常用脉冲成形(半正弦、滚降系数 0.5和 0.8的升余弦滚降)中的信 号波形与频谱,了解基带信号的连续发送波形。
3. 学会观察信号频谱,分析不同脉冲成形滤波后发送信号的频谱效率。
4. 能够基于 ISE 编写并调试 FPGA 脉冲成型程序。
三、 实验原理
1、脉冲成型的理论基础
在现代无线通信中,由于基带信号的频谱范围都比较宽,为了有效利用信道, 在信号传输之前,都要对信号进行频谱压缩,使其在消除码间干扰和达到最佳检测 的前提下,大大提高频带的利用率。
奈奎斯特是第一个解决既能克服符号间干扰又保持小的传输带宽问题的人。他 发现只要把通信系统 (包括发射机、信道和接收机 ) 的整个响应设计成在接收端的每 个抽样时刻只对当前的符号有响应,而对其他符号的响应全等于零,那么符号间干 扰 ISI 的影响就能完全被抵消,即消除符号间干扰的奈奎斯特 (Nyquist) 第 l 准则。 如图 1所示。
图 1 无码间串扰示意图
在理论上, Nyquist 第 l 准则成功地解决了成形滤波器的设计问题, 但是它只给 出了一个抽象的理论准则,而对于如何具体设计成形滤波器并没有一个明确的答 案。
由于数字技术的发展,基带信号的频谱成形可通过数字方法进行。利用数字式 处理来实现频谱波形成形滤波的情况越来越广泛。 数字滤波具有精度高、 可靠性高、 灵活性强、便于大规模集成、可以得到很高的性能指标等优点,可实现有限冲激响 应 (FlR)滤波器或无限冲激响应滤波 (IIR)滤波器。 FIR 滤波器可做到严格的线性相 位,设计方法既有从时域出发考虑的加窗法,从频域出发考虑的频率采样法、等波 纹最佳一致逼近法, 也有综合考虑频域和时域要求的最优化设计方法 (线性规划法 ) 。
在实际应用中,升余弦滤波器是运用较广泛的成形滤波器,因为它有如下的优 点:
1)满足 Nyquist 第 1准则;
2)可以消除理想低通滤波器设计上的困难,有一平滑的过渡带;
3)通过引入滚降系数改变传输信号的成形波形,可以减小抽样定时脉冲误差 所带来的影响,即降低码间干扰。
升余弦滤波器的传递函数为:
()()()()()()???
????+>+≤≤-???????
?
???? ??+-+-≤≤=s
s
s s s
RC T f T f T f T T f f H 2/102/12/1212cos 1212/101
αααααπα(2.1)
其中, α是滚降因子,取值范围 0到 1。当 0=α时,升余弦滚降滤波器对应于 具有最小带宽的矩形滤波器。这种滤波器的冲激响应可由对其传递函数做傅里叶变 换得到:
()()()????
??-??? ??=2
2/41/cos /sin s s s RC T t T t T t t h απαππ
(2.2)
图 2 升余弦滤波器的频域传递函数及时域冲激响应
图 2是升余弦滤波器的频域传递函数及时域冲激响应,其中(a )是频域传递 函数 () H ω, (b )是时域冲激响应 () h t 。
升余弦滚降传递函数可以通过在发射机端和接收机端使用同样的滤波器来实 现, 同时在平坦衰落信道中为实现最佳性能提供了匹配滤波。 为实现滤波器的响应, 脉冲成形滤波器可以用在基带数据上,也可以使用在发射机的输出端。
图 3就是将输入随机序列 {+1, -1}通过 0.5升余弦滤波器后的脉冲成型波形。
(a) 传 递 函 数 (b) 冲 激 响 应
不使用奈奎斯特技术来实现脉冲成形是有可能的,其中一项就是 MSK 调制方 式的应用,与 OQPSK 调制方式结合的效率较高的半正弦脉冲调制。通过截短的传 递函数来抑制相邻符号间的干扰。由于使用更短的截短传递函数,半正弦脉冲成形 后的信号频谱相对升余弦滚降成形的信号频谱来说,主瓣较宽,旁瓣较高,频谱效 率较低。
2、 VHDL 实现脉冲成型
在程序中,我们首先产生升余弦滤波器系数,再将输入数据滤波输出,即可得 到我们期望的滚将系数(0.5或 0.8)的升余弦信号成型波形。因此,这里重点就是 如何生成各种滚降系数的升余弦滤波器系数。
(1) 利用 MATLAB 生成升余弦滚降滤波器系数
在 MATLAB 中,可以利用函数 rcosine 得到升余弦滤波器系数,格式如下: [NUM, DEN] = RCOSINE(Fd, Fs, TYPE_FLAG, R, DELAY)
其中, Fd 表示数字信号频率; Fs 表示滤波器采样频率,且 Fs/Fd 必须是一个正整 数。 TYPE_FLAG 表示设计的滤波器的类型,可以是 'iir' 、 'sqrt' 、或者它们的组合 'iir/sqrt', 也可以是常规类型 'normal' 。 R 表示滚降系数, 取值范围是 [0, 1].。 DELAY 表示滤波器延迟, 必须为一个正整数, DELAY/Fd 可以得到以秒为单位的滤波器延 迟。
我们以产生 0.8滚降系数的升余弦滤波器为例, Fd =1; Fs =8; TYPE_FLAG 取 “fir” ,即可得到 49个滤波器系数:
-0.0000 -0.0012 -0.0036 -0.0064 -0.0085 -0.0088 -0.0068 -0.0032 0.0000 -0.0000 -0.0058 -0.0185 -0.0361 -0.0530 -0.0600 -0.0460 0.0000 0.0852 0.2108 0.3696 0.5465 0.7202 0.8671 0.9654 1.0000 0.9654 0.8671 0.7202 0.5465 0.3696 0.2108 0.0852 0.0000 -0.0460 -0.0600 -0.0530 -0.0361 -0.0185 -0.0058 -0.0000 0.0000 -0.0032 -0.0068 -0.0088 -0.0085 -0.0064 -0.0036 -0.0012 -0.0000
(2) 将升余弦滤波器系数转换为 VHDL 数组
取滤波器对应的单位冲激响应正负两个第二零点之间的 33个样本(9~41,包 括左右两个 0) ,然后进行幅值放大(如这里调整为原始系数 *100)并取为整数,最
后再转换为二进制数,就得到一个包含 33个 10bit 的向量的数组,由此来近似 FIR 形式的升余弦滚降滤波器。
constant rcosine_eighty : ps_array(32 downto 0) :=
(
注:这里的二进制数为补码表示。
(3) 将信号滤波输出,即得到脉冲成型信号
脉冲成形的过程,其实就是输入数据 din 和升余弦滚将滤波器的时域响应进行 卷积的过程。 在源代码中, 合法的输入 din (长度为 2bit ) 一共有三种:00、 01、 11,
分别对应的是 0、 -1和 +1。
对于每一次的输入 din , 在经过和滤波器系数 filter_coef(长度为 10bit ) 卷积后, 都会得到一个对应的输出 filter_dout(长度为 10bit ) 。 利用卷积等效于错位相乘再累 加的原理,我们对于每一次的输入,都调用函数 signed_mul来得到 din 和滤波器系 数 (ps_array) 分 别 相 乘 的 结 果 , 分 别 存 储 在 33个 变 量 r0,r1,r2,r3,r4,r5,r6,r7,r8,r9,ra,rb,rc,rd,re,rf,r10,r11,r12,r13,r14,r15,r16,r17,r18,r19,r1a,r1b, r1c,r1d,r1e,r1f,r20中,为接下来将要进行的累加操作做好准备。
设置 33个中间变量:h0, h1,h2,h3,h4,h5,h6,h7,h8,h9,ha,hb,hc,hd, he,hf,h10,h11, h12,h13,h14,h15, h16,h17,h18,h19,h1a,h1b,h1c,h1d,h1e,h1f,h20,进行赋值相叠累加:
h0 <=>=>
h1 <= h0="" +="">=>
h2 <= h1="" +="">=>
h3 <= h2="" +="">=>
……
h1f <= h1e+="">=>
h20 <= h1f+="">=>
累加结果存储在 h20中,并将其输出到 filter_dout,就得到 din 对应通过滤波器后的结 果。
四、实验内容
(1) 脉冲成型基础验证实验; 观察不同滚降系数的脉冲成型信号的时域波形及 频谱;
(2)基于 FPGA 完成滚降系数 25
. 0
=
α的升余弦滤波器对 BPSK 信号进行基带调 制。
五、实验步骤
1. 脉冲成型基础实验
通过实验平台的菜单窗口提示,利用键盘选择菜单内容,逐级进入该实验操作 界面,根据操作步骤的提示,利用示波器在指定接口进行输出信号波形观察。具体 步骤如下:
检查实验平台左上方和右下方的 Power Switch是否处于关闭(OFF )状态; 检查实验平台的电源线是否连接正确,若连接正确,实验平台右下方的 Power
Ready 指示灯会亮起;
将实验平台左上方的 Power Switch 置为开启(ON )状态,实验系统进入启动 状态,观察实验平台中部的显示屏直至进入 “ 高级软件无线电教学系统 ” ;
按下 “ 确认(回车) ” 键进入系统实验列表;
选择 “1” 按 Enter 键,屏幕显示 “ 进入实验中,请稍候 ” 提示框,直至进入基础实 验列表;
选择 “1” 进入脉冲成形实验列表;
在选择具体实验的项目之后按 “ 确认(回车) ” ,进入脉冲成形仿真实验窗口; (1) 半正弦脉冲成形
选择 “1” 进入半正弦脉冲成形仿真实验窗, 按照软件无线电实验平台界面的提示 进行后续操作,将示波器分别观测实验平台 TXI 和 TXQ 端口,通过示波器观察输 出波形和频谱。
根据示波器上产生波形的频谱图,观察并记录该发送波形的频谱特征:
(2) 滚降系数 0.5
α=的升余弦滚降
选择 “2” 进入滚降系数 0.5
α=的升余弦滚降脉冲成形仿真实验窗,按照软件无 线电实验平台界面的提示进行后续操作,将示波器分别观测实验平台 TXI 和 TXQ 端口,通过示波器观察输出波形和频谱。
根据示波器上产生波形的频谱图,观察并记录该发送波形的频谱特征:
(3) 滚降系数 0.8
α=的升余弦滚降
选择 “3” 进入滚降系数 0.8
α=的升余弦滚降脉冲成形仿真实验窗,按照软件无 线电实验平台界面的提示进行后续操作,将示波器分别观测实验平台 TXI 和 TXQ
通信信号处理及传输实验指导
端口,通过示波器观察输出波形和频谱。
根据示波器上产生波形的频谱图,观察并记录该发送波形的频谱特征:
2. 脉冲成型扩展实验
扩展实验主要完成滚降系数 25
. 0
=
α的升余弦滤波器对 BPSK 信号进行基带调 制。
A 、从系统平台界面程序进入 ― 》扩展实验-》 FPGA 扩展实验-》基带信号 调制实验;
B 、在 ISE 中打开 FPGA_modulate工程,在 modula_main.vhd中,确定
Constant modulation_sel:std_logic_vector(3 downto 0):=“0100”;
C 、编译 pulse_shaping工程,原程序中包含基于滚降系数 0.8的脉冲成型,完 成后将 bit 文件下载到 FPGA 中。
D 、 在 ARM 界面中输入要被调制的数据, 然后按 “ 确认 ” 键, 在示波器上观察输 出时域波形及其频谱。 (由于是 BPSK 调制,我们只关心 TXI 路的信号)
E 、打开 pulse_shaping_filter.vhd文件,基于 VHDL 补充完成滚降系数 25 . 0 =α
的升余弦滤波器,实现 BPSK 信号的基带调制;
其中, 将滚降系数 25
. 0
=
α的升余弦滤波器系数转换为 10-bit 的二进制数组为: constant rcosine_25 : ps_array(32 downto 0) :=
(
通信信号处理及传输实验指导
);
F 、再次编译 pulse_shaping工程,完成后将 bit 文件下载到 FPGA 中。
G 、 在 ARM 界面中输入要被调制的数据, 然后按 “ 确认 ” 键, 在示波器上观察输 出时域波形及其频谱。 (由于是 BPSK 调制,我们只关心 TXI 路的信号)
六、分析和思考:
不同滚降系数的升余弦滤波器对系统的影响。
七、 实验器材(设备、元器件) :
计算机、软件无线电实验箱、示波器、 FPGA 仿真器、+5V 电源
八、 主要元器件及耗材
连接线、计算机串口连接线
九、 学时数:4
实验三 数字上下变频
一、实验名称:数字上下变频
二、实验目的:
1. 理解数字上 /下变频的原理,了解无线通信中进行上 /下变频的原因。
2. 分析上 /下变频后信号时域和频域波形的变化。
3. 能够基于 ISE 编写并调试 FPGA 上下变频程序。
三、实验 原理
1、数字上 /下变频的理论基础
通常的无线通信都是通过载波调制信号来实现。这意味着产生了数字基带信号 后,需要将信号通过数模(DA )转换,由射频端调制到某个载波频段进行发送。 这个将基带信号调制到高频载波频段的过程就称为上变频。反之,在接收机端将模 数(AD )转换后的高速率高频带数字信号转换为低速率的基带信号,即将中频或 者高频信号搬移到基带或者低频波段的过程就称为下变频。
因此,上变频和下变频的概念分别是指把信号搬移到更高或更低的频率上。这 可以通过信号 ()t c 与一个复旋转向量相乘得到,结果为:
()()t f j c
e
t c
t s π2
=(3.1)
其中,
c
f 代表搬移的频率,通常称为载波频率。
复数信号的实部和虚部也可以分别称做同相分量或正交分量。
数字上变频和下变频就是对上式进行数字化。这就意味着信号和复向量都要用 量化的样本来表示。引入满足采样定理的采样周期 T ,这样,数字上变频和下变频 可以写为:
()()kT f j c
e
kT
c
kT
s π2
=(3.2)
进行上变频还是下变频是由频率 c f 的符号决定。 因此只要对其中一种情况进行 讨论即可。我们假设对接收到的信号在模拟前端对整个接收带宽进行下变频,然后 进行滤波。
假设信道可位于带宽为 Band 的频带 (波段 ) 内的任何位置,频带内包含所需信道 加上干扰邻道。如图 1所示。对信号进行下变频可以得到图 2。邻道干扰可以通过信 道化滤波器来滤除。
图 1 下变频前信号信道示意图
图 2 下变频后信号信道示意图
为了分析方便,我们假设中频信号为单频形式,暂不考虑邻道及其他干扰。 1)数字下变频的时域分析:
数字下变频的目的是把所需的分量从载波频率加搬移至基带。模拟中频信号为 单频形式:
()()0cos c c t t ω?=+
(3.3)
其中 c ω表示信号频率, 0?表示信号初始相位。
同时假设用于正交解调的两路数字本振的初始相位为 0,那么模拟中频信号经 过 A/D后得到的信号形式为
c c c c
()()()()()[]∑+∞
-∞
=-?=
?=k T kT t kT c t P t c kT C δ
(3.4)
可见信号 ()kT C 是原信号 ()t c 在 , 2, , 0T T t ±±=处的一些离散值。 因此 A/D输出 的最终信号形式为:
[]()0cos , c c k kT ω?=+ 0, 1, 2, k =±±
(3.5)
那么,此信号经过正交数字解调后的信号形式 (设信号频率和本振频率相同, 即 c
p ωω=) 可以表示如下:
I 路:
()()()()()0cos cos cos I p c p s k c k kT kT kT ωω?ω=?=+?
()()001
cos 2cos 2
c kT ω????=++?? (3.6) Q 路:
()()()()()0cos cos sin Q p c p s k c k kT kT kT ωω?ω=?=+?
()()001
sin 2sin 2
c kT ω????=+-?? (3.7) 可以看出:数字正交解调输出包括两项,其中第 1项为解调过程中引入的高频 分量,第二项为所需要的低频分量。
2)数字下变频的频域分析:
输入模拟中频信号的频谱为:
()()()00
j j c c c F e e ??ωπδωωδωω-??=?-+?+??
(3.8)
此信号经 A/D变换后的输出信号频谱为:
()()()()112222s T c
c k k F P F F T T π
πωωωδωωππ
+∞
=-∞????=?=-? ????
??
?∑
12c k k F T T πω+∞=-∞??=- ???
∑
(3.9)
经过数字正交解调后的频谱: I 路:
()()()1222Is p p k k F T
T ππωπδωωδωωδωπ+∞
=-∞
?
?
??=?-++?-
????
?
∑ 22p p k k k T T T π
ππδωωδωω+∞
=-∞?????
?=--++- ? ??????
???∑
(3.10)
Q 路:
()()()1222Qs p p k k F j T
T πππωδωωδωωδωπ+∞
=-∞?
???=?-++?- ????
?∑
22p p k k k jT T T π
ππδωωδωω+∞
=-∞?????
?=
--++- ? ??????
???∑ (3.11)
应该注意的是,实际上发射机和接收机的本振是不同步的。因而,经过接收机 下变频后的信号与发射信号之间存在相位和频率偏差,必须进行校正。 2、 VHDL 实现数字上 /下变频
在程序中,我们先对 AD 输入的信号进行采样,然后将采样后的数据与内部的 1MHz 正弦载波信号值表相乘,再通过 DA 发送出去。 (1) 1MHz 正弦载波信号的产生
由于实验箱中输入信号的采样率是 16MHZ ,要产生 1MHz 的正弦载波信号, 我们可将 1MHz 的正弦信号离散化为 16个样本, 等效于用 16MHZ 的时钟采样, 即:
sin(2*pi*f*n/fs),其中 f=1MHz, fs=16MHZ→sin(2*pi*n/16)
其中, n 的取值为 0到 15,也就完整地表示了这个调制正弦信号的一个周期。 在离散化之后,我们再进行一个幅值上的放大,这里我们以乘以 511为例,最终得 到的一个样本用 10bit 长的向量来表示。
在程序中,我们用变量 dc_array来表示调制正弦信号 modulation_signal,它是 一个包含 16个元素的数组,每个元素都是一个长度为 10bit 的向量。
constant modulation_signal uc_array(0 to 15) := --- frequency = 1Mhz
(
(2)上变频过程
上变频过程其实就是输入信号 uc_din(经过 AD 之后长度也为 10bit ) 与调制信号 modulation_signal的相乘过程。
关键代码段如下:
t ype uc_array is array(natural range <>) of std_logic_vector(9 downto 0);
type uc_smachine is (uc_idle,uc_transmit);
constant modulation_signal : uc_array(0 to 15) := --frequency = 1Mhz
signal uc_st : uc_smachine;
signal uc_flag : std_logic;
--signal chip_cnt : std_logic_vector(4 downto 0);
signal chip_cnt : std_logic_vector(4 downto 0);
signal uc_wave : std_logic_vector(19 downto 0);
signal v_origin,v_modu : std_logic_vector(9 downto 0);
signal uc_tmp : std_logic_vector(9 downto 0);
begin
v_origin <= uc_din-512;="" ---change="" data="" from="" unsigned="" to="">=>
v_modu <= modulation_signal(conv_integer(chip_cnt(3="" downto="">=>
uc_sending:process(rst,clk)
begin
if rst = '1' then
uc_st <=>=>
chip_cnt <= (others=""> '0');
uc_wave <= (others=""> '0');
uc_tmp <=>=>
uc_flag <=>=>
elsif clk'event and clk = '1' then
case uc_st is
when uc_idle => if uc_en = '1' then
uc_st <=>=>
end if;
uc_wave <= (others=""> '0');
uc_tmp <=>=>
uc_flag <=>=>
when uc_transmit => if sample_en = '1' then
chip_cnt <= chip_cnt="">=>
uc_tmp <=>=>
if (v_origin(9) or v_modu(9)) = '0' then
uc_wave <= v_origin="" *="">=>
elsif ((v_origin(9) = '0') and (v_modu(9) = '1')) then uc_wave <= v_origin="" *="" (1024="" -="">=>
elsif ((v_origin(9) = '1') and (v_modu(9) = '0')) then uc_wave <= v_modu="" *="" (1024="" -="" v_origin);="">=>
uc_wave <= (1024="" -="" v_modu)="" *="" (1024="" -="" v_origin);="" end="">=>
uc_flag <= v_origin(9)="" xor="">=>
end if;
if uc_en = '0' then
uc_st <=>=>
end if;
end case;
end if;
end process;
----change up converted signal into
register_uc_i_Q:process(rst,clk)
begin
if rst = '1' then
uc_I <=>=>
uc_Q <=>=>
elsif clk'event and clk = '1' then
if uc_st = uc_idle then
uc_I <=>=>
uc_Q <=>=>
else
uc_I <=>=>
if uc_flag = '1' then
uc_Q <=>=>
else
uc_Q <=>=>
end if;
end if;
end if;
end process;
四、实验内容
(1)数字上 /下变频基础验证实验,观察并记录上 /下变频后信号时域和频域波 形的变化;
(2)编写 VHDL 程序,完成基于 FPGA 的数字上 /下变频实验。
五、实验步骤
1. 数字上 /下变频基础实验
通过实验平台的菜单窗口提示,利用键盘选择菜单内容,逐级进入该实验操作 界面,根据操作步骤的提示,利用示波器在指定接口进行输出信号波形观察。具体 步骤如下:
检查实验平台左上方和右下方的 Power Switch是否处于关闭(OFF )状态; 检查实验平台的电源线是否连接正确,若连接正确,实验平台右下方的 Power Ready 指示灯会亮起;
将实验平台左上方的 Power Switch 置为开启(ON )状态,实验系统进入启动 状态,观察实验平台中部的显示屏直至进入 “ 高级软件无线电教学系统 ” ;
按下 “ 确认(回车) ” 键进入系统实验列表;
对于基础实验,选择 “1” 按 Enter 键,屏幕显示 “ 进入实验中,请稍候 ” 提示框, 直至进入基础实验列表;
对于扩展实验, 在 ARM 界面选择进入 ->扩展实验 ->FPGA扩展实验 ->上下变频 实验;
(1) 数字上变频
A 、选择 “2” 进入数字上变频实验;
B 、在按 “ 确认(回车) ” 后,进入数字上变频实验仿真实验窗口;
C 、将能产生正弦信号的函数信号发生器与实验平台的 RXI 端口连接,正弦单 频信号的幅度不要超过 2V ,信号频率设为 1MHz ;
D 、按照软件无线电实验平台软件界面的提示进行后续操作;
E 、 将示波器分别观测实验平台 TXI 和 TXQ 端口, 通过示波器观察输出波形和 频谱。
根据示波器上产生波形的频谱图,观察并记录发送波形经过上变频后的频谱特 征:
(2) 数字下变频
A 、选择 “3” 进入数字下变频实验;
B 、在按 “ 确认(回车) ” 后,进入数字下变频实验仿真实验窗口;
C 、将能产生正弦信号的函数信号发生器与实验平台的 RXI 端口连接,正弦单 频信号的幅度不要超过 2V ,信号频率设为 1MHz ;
D 、按照软件无线电实验平台软件界面的提示进行后续操作;
E 、 将示波器分别观测实验平台 TXI 和 TXQ 端口, 通过示波器观察输出波形和频 谱。
根据示波器上产生波形的频谱图,观察并记录发送波形经过上变频后的频谱特 征:
2. 数字上 /下变频扩展实验
A 、 在 ISE 中编译 uc_dc工程, 然后通过 JTAG 仿真口将比特文件下载到 FPGA 中;
B 、用信号发生器发送 600KHz 正弦波到平台的 RXI 端口;
C 、在 ARM 控制端的显示界面中按 ENTER ;
D 、用示波器观测平台的 TXI 、 TXQ 端口,查看变频前后的波形和频谱。
E 、改变数字调制信号频率,将代码中固化的 1MHz 的正弦信号换为 2MHz , 并采取相同的幅度放大。(提示:511*sin(2*pi*f’*n/fs) = 511*sin(2*pi*n/8),其中 n 的取值是从 0到 7,将 8个样本值转换成二进制数。具体的样本请学生自行完成。
六、分析和思考:
自行编写下变频的 FPGA 程序。
七、 实验器材(设备、元器件) :
计算机、软件无线电实验箱、信号发生器、示波器、 DSP 仿真器、 FPGA 仿真 器、+5V 电源
八、所需主要元器件及耗材
连接线、计算机串口连接线
九、 学时数:4学时
实验四 基带载波调制技术实验
一、实验名称:基带载波调制技术实验
二、实验目的:
1. 研究相移键控的线性载波调制技术原理; 分析二进制相移键控 (BPSK)、 四进 制相移键控 (QPSK)技术的原理; 分别观察 BPSK 、 QPSK 调制信号的波形及频谱, 比较 BPSK 和 QPSK 两种线性调制技术间的功率效率和数据带宽比。
2. 研究差分相移键控的线性载波调制技术原理;分析差分二进制相移键控 (DBPSK)、 差分四进制相移键控 (DQPSK)技术的原理; 分别观察 DBPSK 、 DQPSK 调制信号的波形及频谱,比较 DBPSK 和 DQPSK 两种线性调制技术间的功率效率 和数据带宽比。
3. 研究恒包络线性载波调制技术原理;熟悉频移键控 (FSK)、最小频移键控 (MSK)、高斯最小频移键控 (GMSK)技术的原理;分别观察 FSK 、 MSK 、 GMSK 调制信号波形及频谱。
4. 掌握如何用 FPGA 来实现 BPSK 、 QPSK 、 FSK 、 MSK 调制
三、实验 原理
1、基带线性载波调制技术原理
数字信号载波调制有三种基本的调制方式:幅度键控 (ASK ) , 频移键控 (FSK ) 和相移键控(PSK )。它们分别是用数字基带信号控制高频载波的参数如振幅、频 率和相位,得到数字带通信号。在接收端运用相干或非相干解调方式,进行解调, 还原为原数字基带信号。
数字调制技术可以大致分为线性和非线性的。 在线性调制技术中, 传输信号 ()t s 的幅度随调制数字信号 ()t m 的变化而线性变化。线性调制技术带宽效率较高,所以 非常适用于有限频带内要求容纳越来越多用户的无线通信系统。
在线性调制方案中,传输信号 ()t s 可以表示为:
()()[]
t f j c e t m A t s π2Re ?=
()()()()[]t f t m t f t m A c I c R ππ2sin 2cos -?=
(4.1)
其中, A 是信号幅度, c f 是载波频率, ()()()t jm t m t m I R +=是通常为复数形式 的已调信号的复包络。
可见, 载波幅度随调制信号呈线性变化。 线性调制方案一般来说都不是恒包络。 有些非线性调制的载波,即可能是线性包络也可能是恒包络,这取决于基带波形是 否经过脉冲成形处理。
线性调制方案有很好的频谱效率,但传输中必须使用功率效率低的 RF 放大器。 用功率效率高的非线性放大器会导致已滤除的边瓣再生,造成严重的相邻信道干 扰,使线性调制得到的频谱效率全部丢失。最普遍的线性调制技术包括脉冲成形 BPSK 、 QPSK 和 OQPSK 。 (1) 、二进制相移键控(BPSK )
在二进制相移键控中, 幅度恒定的载波信号随两个代表二进制 1或 0的信号 1m 和 2m 的改变而在两个不同的相位间跳变。 通常这两个相位差 180°。 如果正弦载波的幅 度为 c A ,每比特能量 b c b T A E 2
2
1=
,则传输的 BPSK 信号为: ()()02cos 2?π+=t f T E t S c b
b
BPSK b T t ≤≤0(二进制的 1) (4.2)
或者
()()()002cos 22cos 2?π?ππ+-=++=
t f T E t f T E t S c b
b
c b b BPSK
(4.3)
b T t ≤≤0(二进制的 0)
出于方便,经常将 1m 和 2m 一般化为二进制数据信号 ()t m ,它呈现两种可能的 脉冲波形中的一种。这样传输信号可以表示为:
()(()02cos 2?π+=t f T E t m t S c b
b
BPSK
(4.4)
BPSK 信号等效于抑制载波双边带调幅波形,其中 ()t f c π2cos 相当于载波,数据 信号 ()t m 相当于调制波形。 (2) 、四相相移键控(QPSK )
四相相移键控是在一个调制符号中传输两个比特,因此其带宽效率比 BPSK 的 带宽效率高两倍。载波的相位为四个间隔相等的值,比如 0、 2/π、 π和 2/3π,每 一个相位只对应唯一的一对消息比特。这个符号状态集的 QPSK 信号可定义为:
()()??? ?
?
-+=
212cos 2ππi t f T E t S c s s QPSK 4, 3, 2, 1, 0=≤≤i T t s (4.5)
其中, s T 为符号持续时间,等于两个比特周期。
QPSK 信号可以表示为二维星座图上幅度为 s E , 相位分别 0、 2/π、 π和 2/3π的信号 。从 QPSK 信号的星座图可以看出,星座中相邻点的距离为 s E 2。因为每个 符号对应于两个比特,所以 b s E E 2=,这样 QPSK 星座中相邻两点的距离为 b E 2。
相对 BPSK 调制方式, QPSK 在相同的带宽内传输了两倍的数据,因此在相同的 能量效率情况下, QPSK 提供了两倍的频谱效率。 (3)差分 PSK
差分 PSK 是相移键控的非相干形式,它不需要在接收机端有相干参考信号。非 相干接收容易制造而且便宜,因此在无线通信系统中广泛使用。在 DBPSK 或者 DQPSK 系统中, 输入的二进制序列差分编码, 然后再用 BPSK 或者 QPSK 调制器调制。 差分编码后的序列 {}k d 是通过对 k m 与 1-k d 进行模 2运算,由输入的二进制序列 {}k d 产生的。其效果相当于,如果输入的二进制符号 k m 为 1,则符号 k d 与其前一个符号 保持不变, 而如果 k m 为 0, 则 k d 就改变一次。 表 4-1给出了按照关系式 1-⊕=k k k d m d 由 k m 序列中产生的 DPSK 信号。
表 4-1 差分编码过程的图解
DPSK 发射机包括一个比特延迟单元和一个为了从输入二进制序列产生分编码 的逻辑电路,其输出通过一个乘法调制器得到 DPSK 信号。在接收机端,通过相应 的处理过程,从调制的差分编码信号恢复出原始信号。 2、基带恒包络线性载波调制技术
许多实际的移动无线通信系统都使用非线性调制方法,这时不管调制信号如何 改变, 载波的幅度是恒定的。 恒包络调制具有可以满足多种应用环境的优点, 其中:可以使用功率效率高的 C 类放大器,而不会使发送信号占有的频谱增大;带外辐射 低,可达 -60dB 至 -70dB ;可用限幅器 -鉴频器检测,从而简化接收机的设计,并能很 好地抵抗随机噪声和由 Rayleigh 衰落引起的信号波动。
恒包络调制有很多优点,但他们占用的带宽比线性调制大。 (1) 、频移键控(FSK )
在频移键控调制系统中,幅度恒定不变的载波信号的频率随着可能的信息状态 而切换,以 2FSK 为例,信息状态由二进制 1和 0表示,分别对应某个载波频率。根据 频率变化影响发射波形的方式, FSK 信号在相邻的比特之间, 通常呈现连续的相位。 通常, 2FSK 信号的表达式为:
()()ft t f T E t S c b
b
FSK ?+=ππ22cos 2 b T t ≤≤0(二进制的 1) (4.6)
或者
()()22FSK c S t f t ft ππ=
-? b T t ≤≤0(二进制的 0) (4.7)
其中 f ?π2代表信号载波的恒定偏移。
一种简单的产生 FSK 信号的方法是,依照数据比特是 0还是 1,在两个独立的振
荡器中切换。
更常用的产生 FSK 信号的方法是,使用信号波形对单一载波振荡器进行频率调 制。这种调制方法类似于生成模拟 FM 信号,只是调制信号 ()t m 为二进制波形。因 此, 2FSK 可表示为:
()()()t t f T E t S c b
b
FSK θπ+=
2cos 2 ()????
?
?+=?∞
∞-ηηππd m k t f T E f c b
b
22cos 2
(4.8)
(2) 、最小频移键控(MSK )
相移键控和正交幅度键控信号在码元交替处发生相位突变,这意味着已调信号 的功率谱的高频含量较大,这种信号通过频带受限信道,因高频分量被滤除和非线 性 , 使 信 号 的 包 络 产 生 起 伏 , 从 而 影 响 信 号 的 解 调 质 量 。 下 面 将 讨 论 的 MSK(Minimum Frequency Shift Keying) 是二进制连续相位 FSK 的一种特殊形式。连 续相位频移键控信号具有恒包络,频谱旁瓣小,抗干扰性能也较强,因此在卫星通 信和移动通信中应用较多。
最小频移键控 (MSK)是一种特殊的连续相位的频移键控 (CPFSK),其最大频移 为比特率的 1/4。 换句话说, MSK 是调制系数为 0.5的连续相位的 FSK 。 FSK 信号的调 制系数类似于 FM 调制系数, 定义为 ()b FSK R F k /2?=, 其中 F ?是最大射频频移, b R 是比特率。调制系数 0.5对应着能够容纳两路正交 FSK 信号的最小频带,最小频移键 控的由来就是指这种调制方法的频率间隔 (带宽 ) 是可以进行正交检测的最小带宽。 如果
()()?=T
L
H
t v t v 0
(4.9)
则两路 FSK 信号 ()t v H 和 ()t v L 是正交的。
MSK 有时称为快速 FSK ,因为其使用的频率空间仅为常规非相干 FSK 空间的一 半。
MSK 是一种高效的调制方法, 特别适合在移动无线通信系统中使用。 它有很多
好的特性,例如恒包络、频谱利用率高、误比特率低和自同步性能。
MSK 信号也可以看成是一类特殊形式的 OQPSK 。在 MSK 中, OQPSK 的基带矩 形脉冲被半正弦脉冲取代。这些脉冲在 b T 2周期中形状类似于 St.Louis 曲线。考虑 N 比特流交错的 OQPSK 信号。如果用半正弦脉冲代替矩形脉冲,调制信号即为 MSK 信号, N 比特流的表达式为:
()()()()∑-=-=1
2cos 2N i c b I MSK t f iT t p t m t S π()()()∑-=--+1
2cos 2N i c b b Q t f T iT t p t m π(4.10)
其中
()???????
???≤≤???
?
??=other T t T t
t p b b
0202sin π
(4.11)
其中 ()t m I 和 ()Q m t 分别是双极性数据流的
MSK 信号可看作一种特殊形式的连续相位的 FSK 信号, MSK 信号具有恒定幅 值。通过选定载波频率为四分之一比特率 (1/4T)的整数倍,可以保证 MSK 信号在比 特转换处的相位连续性。
MSK 信号具有以下特点:1) 已调信号的振幅是恒定的; 2) 信号的频率偏移严格 地等于 ()b T 4/1相应的调制指数为 0.5; 3) 以载波相位为基准的信号相位在一个码元期 间内准确地线性变化 2/π; 4) 在一个码元期间内, 信号应包括上载波周期的整数倍; 5) 在码元转换时刻信号的相位是连续的,或者说信号的波形没有突跳。 (3) 、高斯最小频移键控(GMSK )
在数字移运通信中进行高速率数据传输时,为了满足邻道带外辐射功率低于 -80--60dB 的指标, 要求信号要有更加紧凑的功率谱。 通过前面的介绍, 从 MSK 信号 的功率谱可以看出。 MSK 信号仍不能满足这样的要求。
高斯最小频移键控 (GMSK)就是针对上述要求提出来的。 GMSK 调制方式能满 足移动通信环境下对邻道干扰的严格要求,以其良好的生能在公共移动通信系统中 得到了广泛应用,并且被确定为欧洲新一代移动通信的标准调制方式。
为了减小已调波的主瓣宽度和邻道的带外辐射, 在平滑调频 (TFM)调制方式中 调制前对基带信号进行了相关编码处理。如果调制前对基带信号进行高斯滤波处理 也能达到上述目的。这就是另一种在移动通信中得到广泛应用的恒包络调制方法 —— 带高斯滤波的最小频移键控,简称高斯最小频移键控。
GMSK 的基本原理是让基带信号先经过高斯滤波器滤波,使基带信号形成高斯 脉冲之后进行 MSK 调制。由于滤波形成的高斯脉冲包络无陡峭的边沿,亦无拐点, 所以经调制后的已调波相位路径在 MSK 的基础上进一步得到平滑。 GMSK 将 MSK 信 号的相位路径的尖角平滑掉了,因此频谱特性优于 MSK 和 SFSK 。
采用高斯滤波器进行预凋制滤波是因为高斯滤波器具有以下特性:1) 窄带锐截 止特性,以便抑制高频分量; 2) 冲激响应过冲量小,以防止过大的瞬时频偏; 3) 滤 波器输出冲激响应曲线下的面积对应于 2/π的相移,以使调制指数为 0.5高 斯 低 通滤波器的单位冲激响应为:
()????
?????
?
? ??-=2
exp t t h απα
(4.12)
显然 ()t h 不是时限的, 但是随 2t 按指数规律迅速下降, 可近似认为其宽度有限。 傅里叶变换后可得:
()()
22exp f f H α-=
(4.13)
高斯预调制滤波器的脉冲响应:
()?
+
+
????
??????
? ??-=
222
exp 1
b b b b T T T T b
d T t g ταπτα
(4.14)
3. MSK 调制的 FPGA 程序示例
i_sk_sending:process(rst,clk)
begin
if rst = '1' then
sk_st_i <=>=>
sk_di <= (others=""> '0');
软件无线电技术
《通信原理》课程论文
题目:软件无线电技术
姓名:ly
学号:
指导老师:吴玉成
学院:通信工程学院
班级:2011级电子信息实验班
2014年1月9日
摘要
现行的面向具体用途来设计不同频段、不同制式的无线电通信电台及组网的思想已经远远不能满足现代无线电通信的实际需要,因此软件无线电系统及其技术,这种革新的通信理念与体制应运而生。文章对软件无线电技术的概念、功能和关键技术等进行了介绍,并阐述了软件无线电的应用和发展前景。
1 引言
软件无线电是近些年来随着微电子、信号处理、计算机等技术的高速发展应运而生的一种新的无线电技术。它最初起源于军事通信,是为了解决多军联合作战时通信互通互联问题而提出来的。由于军用电台一般是根据某种特定用途设计的,功能单一。虽然有些电台基本结构相似,但其信号特点差异很大,例如工作频段、调制方式、波形结构、通信协议、编码方式或加密方式不同。这些差异极大地限制了不同电台之间的互通性,给协同作战带来困难。同样,民用通信也存在互通性问题,如现有移动通信系统的制式、频率各不相同,不能互通和兼容,给人们从事跨国经商、旅游等活动带来极大不便。为解决无线通信的互通性问题,各国军方进行了积极探索。1992年5月,在美国电信系统会议上,MITRE公司的JoeMitola首次明确提出软件无线电的概念。
经过这几年的迅速发展,软件无线电早已从军事领域的阶段逐步发展成为移动通信发展的基石,特别是第3、4代移动通信系统。个人移动通信系统已从第一代模拟蜂窝系统发展到第二代数字蜂窝系统(GMS、CDMA),目前正在向第三代移动通信系统发展,而且第四代移动通信技术也已经悄然问世。随着越来越大的通信需求,一方面使通信产品的生存周期缩短,开发费用上升;另一方面,新老体制共存,各种通信系统之间的互联变得更加复杂和困难、由于通信技术的迅猛发展,新的通信体制与标准不断提出,通信产品的生存周期减少,开发费用上升,导致以硬件为基础的传统通信体制无法适应新的局面;同时,不同体制互通的要求日趋强烈,并且随着通信业务的不断增长,无线频段资源变得越来越拥挤,对现有通信系统的频带利用率及抗干扰能力提出了更高的要求。但是沿着现有通信体制的发展,很难对频带重新规划。所以寻求一种既能满新一代通信系统需求,由能兼容老体制,而且更具有扩展能力的新的个人移动通信系统体系结构成为人们努力的方向。而软件无线电正好提供了解决这一问题的技术途径成为第
三代移动通信系统研究的热点。
2 软件无线电的概念及特点
所谓软件无线电,就是说其通路的调制波形是由软件确定的,即软件无线电是一种用软件实现物理层连接的无线通信设计。软件无线电的核心是将宽带A/D、D/A尽可能靠近天线,用软件实现尽可能多的无线电功能;其中心思想是在一个标准化、模块化的通用硬件平台上,通过软件编程,实现一种具有多通路、多层次和多模式无线通信功能的开放式体系结构。应用软件无线电技术,一个移动终端可以在不同系统和平台间畅通无阻地使用。
软件无线电采用标准的、高性能的开放式总线结构,以利于硬件模块的不断升级和扩展。在设计和应用中软件无线电技术有下列优点:
(1)完全的数字化。由于软件无线电的基本思想之一就是力图从通信系统的基带信号至中频、射频段进行数字化处理。因此,它是一种比目前任何一个数字通信系统的数字化程度都要高得多的全数字化通信系统。
(2)完全的可编程性。软件无线电通过一种通用的硬件平台,将通信的各种功能实现完全由相应软件运行来完成。它包括:宽频段内的可编程的信道调制方式、可编程的射频与中频频段、可编程的信道解调方式、信源编码、解码方式等。
(3)系统升级的便捷性与系统功能的可扩充性。由于软件无线电通信系统的功能更多体现在软件上,因此,系统的升级只需要改变相应的软件,即对软件升级即可。显然,它比以往对硬件电路的设计与改进更加快捷。通过软件工具可扩展通信系统业务、分析无线通信环境、定义所需扩展增强的各项通信业务。
(4)系统便于实现模块化。利用软件无线电的基本思想,对现行的通信系统均可实行模块化设计,模块的物理及电气接口性能指标符合统一开放的标准。通过更换单一模块,可以维护或提高系统的性能。
由于软件无线电的这些特点,使其一方面在军事领域,符合三军协同快速通信的需要;另一方面在民用领域,可通过软件编程,保持一种硬件平台结构的通用性。所以在移动通信领域内,可以对不同体制进行综合兼容真正实现移动通信系统“一机在手,漫游天下”的设想及其优越性。同时软件无线电也极大地推动了可编程硬件的发展,扩展了它的编程能力,提高了它的灵活性。现在的无线通信设备包括手机都使用了DSP,但DSP软件大多固化在设备中,且DSP硬件是专用的。如果DSP硬件更加通用化,其软件可以通过有线或无线手段装入,那
么一台设备就可以实现在不同的制式、频段和协议下工作了。
3 软件无线电结构
实现系统的无线通信需要经过信号的发射,信号的信道传输与信号的接收3个过程。由于现在这种新型的软件无线电通信系统的信道接入、信道调制方式和信道的选址分配方式均可由系统终端的可编程软件功能来定义和实现,从而可使软件无线电通信系统的实现缩减为发射和接收两个过程。此外,在软件无线电系统中承担发射过程的软件相当丰富。它不仅能发射信号,而且能预先分析传输信道与相邻信道的干扰特性,从而探测确定信号的最佳传输途径。它能自行选择确定适应信道传输的最佳解调方式与编码方法;也能决策调整宽带天线的位置,以使发射波束获得最佳方向;并能自动调整合适的发射功率,以避免不必要的功率损失。软件无线电通信系统接收过程的软件功能是:它不仅能够接收信号,而且能够分析接收信号功率在本传输信道和相邻信道上的分布特性并能自动调整接收天线的方向;能识别接收信号的调制方式和编码方式;能自适应的抑制干扰,评估所需信号多径传输的动态特性,并对其总体值进行相关的自适应均衡处理;能采用交织编码方式对信道解调方式进行解调,并能运用前向纠错控制方式(FEC)对漏检差错编码纠错以及更正驻留误码,从而使接收信号的差错比特率(BER)最小;同时,它还能对不同系统通信的相关协议进行转换。软件无线电系统还可以通过广泛的软件功能来支持该系统业务的广泛可扩充性。
按国际软件无线电软件化程度评判的四级标准,即零级(无可编程部件)、一级( 基带可编程)、二级(中频IF可编程,含零中频方式)、三级(射频可编程)的四级划分标准,我们给出二级软件无线电体系结构的框架原理图,也就是军用电图中除天线、射频发射、接收模块未能实现可编程外,从中频(含零中频)至基带的全部数字信号处理过程,均由可编程和软件实现。其内容包括从中频采样后各种类型的信号调制、解调、解扩、同步、相关运算、滤波、信道编解码、语音、数据编译码、信道控制、电台功能控制、信息安全等均由可编程器件及软件完成。
软件无线电体系结构
4 软件无线电的关键技术
软件无线电之所以比传统的数字电台优越,是因为采用了许多关键技术,正是这些关键技术确保了电台的宽频段和功能的灵活性。其中的关键技术主要有:
(1)开放式体系结构。软件无线电的硬件设计以开放式总线结构为基础,所以硬件和软件都采用开放式物理接口和电气接口规范,按标准的通用模块进行设计。目前,用于通信的开放式体系结构标准已经建立,但软件无线电中用于高性能、实时数字信号处理的开放式标准还处于未成熟阶段。
(2)宽频段、多频段天线及RF部分技术。RF转换器部分功能包括产生输出功率、接收信号的预放大、射频信号和中频信号的转换等,现阶段RF变换还只能采用模拟方式。
(3)宽带模数或数模(A/D或D/A)转换技术。在软件无线电中,理想的ADC的位置应尽可能的靠近天线,以使接收到的模拟信号尽早数字化及获得最大限度的可编程性。在A/D或D/A转换技术中需要考虑的几个因素有:采样速率、采样方式的选择、带外能量的数值及效应、量化噪声等,目前在软件无线电A/D或D/A技术中存在的最大问题是目前ADC的采样速率难以完全满足软件无线电所要求的高速、高精度的性能。
(4)中频处理技术。发射端中频处理部分是实现已调基带信号与中频信号之间的变换,这种变换通过离散时间点运算来实现;接收端中频处理部分包括宽带数字滤波,可从可用的业务波段中选出一个来 ,恢复出中等带宽的用户信道,同时将信号转换到基带。频率变换和滤波的复杂程度决定了中频段对处理能力的需求,其功能完成要求用数字处理方法来实现。
(5)基带处理技术。基带处理段对信号进行第一级信道调制(相应地在接收机中是对信号进行解调)。另外,针对非线性信道的信号预畸变、格型编码和软判决参数估计都包括在基带处理段中。因此,该段的复杂性由基带带宽、
信道
波形和相应处理(如:软判决支持)的复杂性来决定。
(6)数字信号处理(DSP)技术。在软件无线电中,高速DSP的运算能力高低将直接影响到软件无线电系统性能的好坏。在多频段多功能电台中,DSP部分的设计不再是为某种功能所附加,而是承担电台的大部分信号处理功能,包括调制/解调、变频、数字滤波、信号检测、信息处理、语音编码、抗干扰及实时控制、网络协议等多种功能。
(7)可重构的实时软件处理技术。软件无线电在多工作方式实现过程中,要求能实时加入新的功能软件,因为尽管目前存储器的容量已经够大,但存储所有软件仍然是一种负担,因此软件无线电应该能够通过特定的用户入口来实现装载新的功能软件,从而通过软件资源重新分配的办法来实现软件的功能重组,这就要求将通信协议及软件标准化、通用化,这也是软件无线电的一个基本要求。
(8)开放式总线结构的标准化。传统的硬件平台结构为流水线式,在这样的结构中,各模块采用实际的硬件电路互联。由于在一般的情况下,个模块之间耦合紧密;当系统功能需要改变时,则要求增加或减少某一模块,这样必然会引起结构上的变化。由于它不具有开放性,因此不具备软件无线电的要求。人们从PC的发展中得到启迪,由此基于总线互联结构的系统便应运而生,即将VME总线标准在相应系统中应用。尤其是在软件无线电系统中,VME总线标准为软件无线电的可开放、可扩展硬件平台奠定了坚实的基础。
(9)宽带智能天线技术。智能天线技术在软件无线电中具有非常重要的功能,是不可替代的硬件出入口,只能靠硬件本身来完成,不能靠软件加载实现全部功能。软件无线电中的智能天线与无线移动通信中的智能天线从功能上讲是完全相同的,但在软件无线电系统中,由于信号占据很宽的频率范围,其天线必须具有接入多个频段的功能,理想的软件无线电系统的天线部分则应该能够覆盖全部无线通信频段,这对天线技术提出了较高的要求。
通常来说,无线电台的射频前端、发射天线和接收天线部分都是有固定硬件实现的,但是软件无线电具有智能的、可编程的数字信号处理核心,可以充分利用此优势对固定天线接收下来的信号进行优化组合,达到提高信噪比,抑制共同信道干扰、增大通信系统容量的目的。这种可以动态配置的天线系统就是目前软件无线电系统中的关键热门技术之一——智能天线技术
5 软件无线电的应用与发展前景
在当今通信领域中,卫星通信是一种很重要的通信方式。但是,由于目前卫
星通信系统设备种类繁多,设备管理和维护工作复杂,使得卫星通信系统更新换代周期长,不能很好地适应现代科技的发展,而软件无线电以其软件定义功能和开放式模块化结构的技术思想能很好地解决卫星通信系统中存在的问题。在卫星通信系统中,系统的功能主要指多址方网络结构、组网协议和通信业务等;而其设备功能主要指接口标准、调制解调方式、信道编码方式、信源编码方式、信息速率、复用方式等。软件无线电思想就是使上述功能可以用软件来定义,通过友好的人机界面,人们可以在不改变硬件设备的情况下实时地改变通信系统功能,从而使该系统能适应各种应用环境而具有很强的适用性和灵活性。
近几年软件无线电技术在电子战方面的成功应用, 使人们对它产生了浓厚的兴趣. 由于软件无线电具有现有无线通信体制所不具备的许多优点, 它有着广泛的应用前景. 军用方面, 软件无线电技术可实现各种军用电台的互连、互通、互操作; 并可接入各种军用移动通信网. 在民用方面, 多频段、多模式移动电话通用手机、多频段多模式移动电话通用基站、无线局域网及通用网关等都是软件无线电的应用领域. 它在现代通信及其产品中也有着广泛的发展前途。在蜂窝移动通信系统中软件无线电的应用也是一个发展趋势。如我国的第三代移动通信系统TD-SCDMA中就结合了软件无线电、智能天线、全质量话音压缩编码技术与联合检测技术等新通信技术。蜂窝基础结构以合适的软件无线电为基础,它可以利用安装新软件进行升级,这与配置新硬件相比更廉价、更迅速,同时也使得数字通信更迅速地进入市场,提高频谱的利用率。
随着3G技术的日益发展与成熟,目前已经在市场运营中取得一定的成绩。当前,国际电信联盟(ITU)已经着手准备“第四代移动通信标准”的制定,并逐渐达成共识,将移动通信系统与其他系统相结合,如WLAN、无线局域网等,4G技术应运而生。随着4G技术的产生,数据传输效率将进一步提高,并可提供更丰富更广泛的业务,最终实现局域网、广播、电视、商业无线网络、蓝牙等无缝衔接、兼容发展。在4G发展的诸多关键技术中,软件无线电技术是承载4G发展的桥梁。随着各种先进技术的交叠发展,更利于降低开发风险,因此未来发展的4G技术中必须满足各种类型产品的需要,软件无线电技术恰好满足产品多样性需求,既可降低开发4G的风险,又支持更多系列产品的开发。另外,由于软件无线电技术减少了硅芯片的应用,可有效降低成本更利于推广使用。在4G技术的网络支持方面,由于通信系统选择的是基于IP全分组形势基础上的数据传输流,因此IPv6将成为下一代网络协议。
由于软件无线电具有现有无线通信体制所不具备的许多优点,因此它有着广泛的应用前景。目前,软件无线电在国内外得到迅速发展。美国国防部已完成“Speakeasy计划”二期工程,并在电子战领域应用;欧共体的ACTSFIRST项目
和美国RUTGERS大学分别进行了软件无线电应用于第三代移动通信系统的研究;我国也将软件无线电技术纳入了国家“863”高科技发展计划,目前我国正在研究开发的第二代同步轨道航天测控设备方案的核心就是引入软件无线电技术。随着无线网络的发展,各种无线通信体系结构和设计规范不断出现。未来的无缝多模式网络要求无线电终端和基站具有灵活的RF频段、信道接入模式、数据速率和应用功能。软件无线电可以通过灵活的应变能力,提高业务质量;同时可以简化硬件组成,快速适应新出现的标准和管理方式。可以预见,随着现代计算机软、硬件技术与微电子技术迅猛的发展,软件无线电技术必将在21世纪得到更快、更完善的发展,并付诸应用。
参考文献
[1] 王彦,曹鹏等. 软件无线电技术发展综述[J].测控技术, 2004,(23): 139~140
[2] 查光明,倪成凯等. 软件无线电的兴起、特点及其关键技术[J].云南民族大学学报,2003,
(12): 138~141
[3] 邬正义,范瑜等. 现代无线通信技术[M]. 北京:高等教育出版社,2006.
[4] 杨小牛, 楼才义, 徐建良. 软件无线电原理及应用[M] . 北京: 电子工业出版社, 2001
[5] 钮心析, 杨义先. 软件无线电技术与应用[M] . 北京: 北京邮电大学出版社, 2000
软件无线电技术
软件无线电技术
摘要:本文主要介绍无线电的起源,概念及特点,并对无线电的基本组成模块:宽带/多频段天线与RF模块、模数转换部分、高速数字信号处理器等进行了简明的叙述,并对无线电技术未来发前景进行概括。
一、软件无线电概念
软件无线电技术,是用现代化软件来操纵、控制传统的“纯硬件电路”的无线通信。软件无线电技术的重要价值在于:传统的硬件无线电通信设备只是作为无线通信的基本平台,而许多的通信功能则是由软件来实现,打破了有史以来设备的通信功能的实现仅仅依赖于硬件发展的格局。软件无线电技术的出现是通信领域继固定通信到移动通信,摸拟通信到数字通信之后第三次革命。
二、软件无线电的起源
软件无线电(Software Radio)最初起源于军事通信。 军用电台一般是根据某种特定用途设计的,功能单一。虽然有些电台基本结构相似,但其信号特点差异很大,例如工作频段、调制方式、波形结构、通信协议、编码方式或加密方式不同。这些差异极大地限制了不同电台之间的互通性,给协同作战带来困难。同样,民用通信也存在互通性问题,如现有移动通信系统的制式、频率各不相同,不能互通和兼容,给人们从事跨国经商、旅游等活动带来极大不便。为解决无线通信的互通性问题,各国军方进行了积极探索。1992年5月,在美国电信系统会议。IEEENational Telesystems Conference)上,MITRE公司的JoeMitola首次明确提出软件无线电的概念。
三、软件无线电特点
软件无线电的核心是将宽带A/D、D/A尽可能靠近天线,用软件实现尽可能多的无线电功能;其中心思想是在一个标准化、模块化的通用硬件平台上,通过软件编程,实现一种具有多通路、多层次和多模式无线通信功能的开放式体系结构。应用软件无线电技术,一个移动终端可以在不同系统和平台间畅通无阻地使用。
软件无线电的主要优点是它的灵活性,可以通过增加软件模块,方便地增加新功能。在软件无线电中,诸如信道带宽、调制及编码等都可以进行动态调整,以适应网络标准和环境、网络通信负荷及用户需求的变化。
四、软件无线电的基本结构
1.宽带/多频段天线与RF模块
宽带/多频段天线与RF模块是软件无线电不可替代的硬件出入口。软件无线电要求天线能覆盖所有频段,能用程序控制方法对其功能及参数进行设置。可采用智能化天线技术。
智能天线也称自适应阵列天线,由天线阵、波束形成网络、波束形成算法三部分组成。它通过满足某种准则算法调节各阵元信号的加权幅度和相位,进而调节天线阵列的方向图形状,来达到增强所需信号,抑制干扰信号的目的。智能天线也可以用空分复用(SDMA)的概念加以解释,即利用信号入射方向上的差别,将同频率、同时隙的信号区分开来,从而达到成倍扩展通信系统容量的目的。智能天线具有抑制噪声、自动跟踪信号、采用智能化时空处理算法形成数字波束等功能。
射频部分包括预放大和功率输出两部分。射频发射机和接收机,由通用平台和多个射频发射机模块组成,其工作频带应足够宽,并采用数字频率合成技术设置,对每种标准应能够多载波工作。发射机包括多只高功率放大器,要求具有高线性。
2.模数转换部分
数字化是软件无线电的基础,模拟信号必须经过采样转化成数字信号才能用软件进行处理。
软件无线电体系结构的一个重要特点是将A/D和D/A尽量靠近射频前段。A/D和D/A器件在软件无线电中的位置非常关键,它直接反映了软件无线电系统的软件化可操作程度。为减少模拟环节及适应错综复杂的电磁环境,要求A/D器件具有适中的采样频率、较高的工作速度、较宽的工作带宽和较大的动态范围。
A/D器件性能的局限及采样时引入的频谱混迭、量化误差等,会对软件无线电台的性能产生不良影响,但这种影响尚缺乏定量分析。
3.高速数字信号处理器
DSP是软件无线电必需的基本器件,是其核心所在。系统在射频或中频(IF)对接收信号进行数字化处理,通过软件编程灵活地实现宽带数字滤波、直接数字频率合成、数字上下变频、调制解调、差错编码、信令控制、信源编码及加解密功能。接收时,来自天线的信号经过RF处理和变换,由宽带A/D数字化,然后通过可编程DSP模块进行所需的各种信号处理,处理后的数据信号送至多功能用户终端。发送时,通过类似接收信号处理流程的逆过程将数据通过天线发射出去。可见,软件无线电的灵活性、开放性、兼容性等特点主要是通过以数字信号处理为中心的通用硬件平台及DSP软件实现的。
目前的DSP无论在功能上还是在性能上,都不能满足无线电的要求,很难用单片DSP直接处理宽带射频或中频信号,可以先采用数字变频技术对宽带射频或中频信号进行处理,然后再用DSP完成各种信号处理功能。数字变频的组成与模拟变频组成类似,包括数字混频器、数字控制振荡器和低通滤波器三部分,所不同的是数字变频采用正交混频。数字变频具有载频和数字滤波器系数可编程性、不存在非线性失真、频响特性好及造价低等优点。
五、软件无线电的发展前景
由于软件无线电具有现有无线通信体制所不具备的许多优点,因此它有着广泛的应用前景。目前,软件无线电在国内外得到迅速发展。美国国防部已完成“Speakeasy计划”二期工程,并在电子战领域应用;欧共体的ACTSFIRST项目和美国RUTGERS大学分别进行了软件无线电应用于第三代移动通信系统的研究;我国也将软件无线电技术纳入了国家“863”高科技发展计划,目前我国正在研究开发的第二代同步轨道航天测控设备方案的核心就是引入软件无线电技术。
随着无线网络的发展,各种无线通信体系结构和设计规范不断出现。未来的无缝多模式网络要求无线电终端和基站具有灵活的RF频段、信道接入模式、数据速率和应用功能。软件无线电可以通过灵活的应变能力,提高业务质量;同时可以简化硬件组成,快速适应新出现的标准和管理方式。可以预见,随着现代计算机软、硬件技术与微电子技术迅猛的发展,软件无线电技术必将在21世纪得到更快、更完善的发展,并付诸应用。
参考文献
[1] 牛忠霞.现代通信系统[M].北京:国防工业出版社.2003
[2] 高健.现代通信系统[M].北京:机械工业出版社,2001
转载请注明出处范文大全网 » 软件无线电技术及其应用
=>=>=>=>=>=>=>